Industry attributes
Technology attributes
Other attributes
Extreme ultraviolet (EUV) lithography, or EUVL, is a soft X-ray technology that enables very fine resolutions when fabricating electronics via photolithography. EUVL is used to produce advanced microchips (with features down to 13 nm), packing more transistors onto a single chip while scaling for affordable mass production. As of 2022, the only company producing EUVL systems is ASML (Advanced Semiconductor Materials Lithography), and the expense of their machines means they are sold to only five chipmakers. In 2021, the biggest three chipmakers, Taiwan Semiconductor Manufacturing Co., Samsung, and Intel, made up nearly 84 percent of ASML's business. ASML's EUVL systems are not sold to Chinese companies, with the company not granting the export licenses required. EUVL technology from ASML was described as "the machine that saved Moore's Law" by MIT Technology Review in 2021.
Photolithography is a patterning process where a silicon wafer coated with a photosensitive polymer photoresist is exposed to light through a photomask. Any exposed pathways on the polymer surface are dissolved to produce patterned access to an underlying substrate, forming the ultrafine microscopic structures that make up a semiconductor chip. However, the size of features photolithography can produce is limited by the wavelength of light used. While deep ultraviolet (DUV) light used in chip production has wavelengths of 248 and 193 nm, EUV lithography uses light with a wavelength of 13.5 nm.
The wavelength of 13.5 nm is less than one-tenth of what ArF (Argon Fluoride) excimer laser scanners provide. To generate the EUV light needed for the lithography process, a carbon dioxide (CO2) laser fires two distinct laser pulses at a fast-moving drop of tin. This vaporizes the tin, creating EUV light. The vaporization process is repeated up to 50,000 times per second. Several multilayer mirrors guide the EUV light to the wafer. As these mirrors absorb 96% of the light emissions, EUV lithography requires the light source to be much brighter than those utilized by preceding technologies. EUV lithography also requires vacuum conditions, as matter absorbs the short wavelength light used.
Developed at Bell Labs in the 1950s, photolithography is a key technology in the manufacturing of semiconductor chips. The wavelength used for exposure during the photolithography process plays an important role in defining the patterning resolution. Original devices used mercury arc lamps and the visible g-line (436 nm) and the ultraviolet i-line (365 nm) they produce. For better lithographic resolution, later generations used deep ultraviolet emissions from excimer lasers, such as the 248 nm (krypton fluoride laser) and 193 nm (argon fluoride laser) lasers. In comparison, EUVL utilizes significantly shorter wavelength light (13.5 nm).
EUVL as a concept and design was first proposed in 1988 and examined experimentally in 1989 and 1990. The complicated optics required to build a EUV exposure tool made it difficult to progress the technology. From late 1990 until 2001, EUV LLC developed the first full field exposure tool utilizing EUV light to demonstrate the feasibility of the technology. Unveiled in April 2001, the prototype was the first full-scale EUVL machine. The EUV LLC was a consortium with some of the world's leading chipmakers and three US Department of Energy research labs (Sandia National Laboratories, Lawrence Livermore National Laboratory, and Lawrence Berkeley National Laboratory). Notable members include Intel, AMD, IBM, Micron, Infineon, and Motorola. ASML joined EUV LLC in June 1999.
In 2006, ASML shipped the first EUVL demo tool to the College of Nanoscale Science & Engineering in Albany, in the US, and to Imec in Leuven, Belgium. The company and university used the prototypes to learn about EUV and how it could be used for semiconductor manufacturing. The alpha-demo (AD) EUVL exposure tools were full field size (26x33 mm2), but the EUV power at intermediate focus (IF) was only a few watts, supplied by a tin-discharge-produced plasma (DPP) light source. The tool optics contained six mirrors and a 4x demagnification.
In 2010, ASML delivered the first TWINSCAN NXE:3100 machine, a pre-production EUV system, to one of its major customers. This was followed by six more systems shipped to different customers. In 2013, the TWINSCAN NXE:3300, the first EUV production system was shipped. In 2016, ASML began taking orders for the NXE:3400 machine. The first mass-production EUVL machines were introduced by ASML in 2017.
In 2018, ASML shipped eighteen Twinscan NXE: 3400B EUV scanners. In July 2018, thirty-one EUV scanners were installed across the world in various fabrication facilities, including semiconductor research organizations. To develop its technology, ASML has invested more than €6 billion in EUV R&D. During development, in 2012, ASML acquired US company Cymer, a specialist in light source technology, for $2.5 billion.
ASML is developing next-generation EUV platforms that increase the numerical aperture (NA) from 0.33 to 0.55, a project referred to as High-NA. The High-NA platform, called EXE, utilizes a new optics design and faster wafer and reticle stages. It aims to also enable geometric chip scaling and multiple future nodes, beginning at the 2 nm logic node with memory nodes of similar density following. ASML is planning the first shipment of R&D High-NA systems to customers at the end of 2023, with high-volume manufacturing systems expected to be operational in 2025.
Photolithography acts similarly to a projection system. Light is passed through a blueprint or mask of the intended fabrication pattern. Optics focus the resulting pattern onto a silicon wafer, which has been coated with light-sensitive chemicals. The unexposed parts of the silicon are etched away to produce the final pattern. Utilizing EUV presents significant challenges in generating the light, developing the ultra-reflective optics, and operating in the high vacuum conditions required.
The resolution possible using projection lithography can be expressed as:
Where:
- R is the resolution (half pitch)
- k1 is a coefficient
- λ is the wavelength of the light in use (e.g., 13.5 nm for EUVL)
- NA is the numerical aperture, a measure of the amount of light collected
This is known as the Rayleigh criterion equation, and it defines the smallest possible feature size a lithography system can produce. The k1 coefficient depends on multiple factors related to chip manufacturing processes. It has a physical limit of 0.25. The Rayleigh criterion equation shows smaller semiconductor features can be produced using smaller wavelength light, a larger NA, or pushing the k1 coefficient to its physical limit. The k1 coefficient can be optimized by improving the lithography process; this includes controlling how light hits the reticle (intermediate masks) and the beam shaping and defining a pupil shape (lens) to maximize the impact of the low-wavelength light.
The EUV light source is based on laser-produced-plasma (LPP) technology with three key components: the laser, droplet generator, and collector.
The laser consists of two parts: a seed laser (pre-pulse and main pulse) and a power amplifier. The EUV source is situated on the fab floor attached to the EUV scanner. It consists of a droplet generator and collector housed in a vacuum chamber. The droplet generator is a small vessel where tin is loaded and heated to produce a flow of small droplets. The spherical molten tin droplets, roughly 25 microns in diameter, are ejected at 70 meters per second. As they fall, they are hit by a low-intensity laser pulse (pre-pulse), flattening them into a pancake shape. This is followed by a more powerful laser pulse (main pulse), vaporizing the droplet to create a plasma that emits the 13.5 nm EUV light. To generate enough light for microchip manufacturing, the process is repeated 50,000 times a second. A camera inside the vessel tracks detects droplets as they pass through the chamber, triggering the laser. The generated EUV light hits a multi-layer mirror called the collector, which directs light through an intermediate focus unit into the scanner.
Within the scanner, a complex series of surfaces and multi-layer mirrors prepare the light before it strikes the silicon wafer. While it is possible to use lenses to focus lithography using longer wavelength light, they absorb too much of the 13.5 nm light to be applied for EUVL. Instead, ASML utilizes ultra-smooth, multilayer mirrors manufactured by the German company, Zeiss. Each mirror consists of over 100 layers of materials that are carefully chosen and precisely engineered to maximize the reflection of EUV light.
The complexity of modern lithography optics presents significant engineering challenges. This includes the use of thousands of actuators to position optics elements with a tolerance of a nanometer to ensure the perfect image reaches the wafer. Another challenge is the heat produced by the light source creating optical distortions which have to be actively compensated through nanometer-scale actuator adjustments.
The first objective of the EUVL optics is to form a pupil shape before hitting the mask. The mask, which is also reflective, bounces off many multi-layer mirrors in the projection optics before striking the wafer at an angle of 6%. Given each mirror only reflects roughly 70% of the light the EUV scanner has a total transmission rate of around 4%. The light output from the EUV scanner hits the photoresist on the wafer.
As of 2022, ASML is the only company offering EUVL systems. The company's EUVL portfolio contains two systems, with plans for the High-NA platform (EXE) that will increase the numerical aperture from 0.33 to 0.55 to further reduce the possible semiconductor features that can be fabricated. From 2012 to 2022, ASML had sold roughly 140 EUV systems. ASML's EUV systems can cost up to $200 million, with the price tag for the next-generation High-NA system expected to be more than $300 million. Each EUV system is roughly the size of a bus, containing hundreds of thousands of parts and 2 kilometers of cabling. Components are sourced from almost 800 global suppliers. Modules are built at one of ASML's sixty locations and shipped to Veldhoven, Netherlands, for assembly. After testing, the system is disassembled for shipping to chipmakers. Shipping requires forty freight containers, three cargo planes, and twenty trucks.
The TWINSCAN NXE:3400C is the successor of ASML's previous NXE:3400B. With a NA of 0.33, the system is capable of 13 nm resolution and producing over 170 300mm wafers per hour at a dose of 20mJ/cm2.
The newer TWINSCAN NXE:3600D combines imaging and overlay improvements with a 15% to 20% productivity improvement compared with the NXE:3400C at a dose of 30mJ/cm2. The platform's EUV light technology exposes 300 mm wafers with a max exposure field size of 26 mm x 33 mm.
ASML's new High-NA platform, EXE, will implement a novel optics design with significantly faster wafer and reticle stages to enable future nodes, beginning with the 2 nm logic node followed by memory nodes at similar density. Numerical Aperture (NA) is a measure of how much light the lens system can collect and focus. ASML lens development is aiming to improve resolution by integrating higher-precision lenses and mirrors into extended optical systems. This will increase NA from 0.33 (TWINSCAN NXE:3400C and NXE:3600D) to 0.55. The new platform is aiming to enable future geometric chip scaling with a resolution 70% better than previous generations. This requires significantly larger mirrors and new software/hardware to precisely control the components. ASML expects the first shipments of its High-NA system for R&D by the end of 2023, with high-volume manufacturing expected by 2025.