Create
Log in
Sign up
Golden has been acquired by ComplyAdvantage.
Read about it here ⟶
William M. Treat
Overview
Structured Data
Issues
Contributors
Activity
All edits
Edits on 7 Sep, 2022
"update inverses"
Golden AI
edited on 7 Sep, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Doctoral Advisor
Felix Skowronek
Edits on 14 Dec, 2021
"Remove inverse infobox"
Golden AI
edited on 14 Dec, 2021
Edits made to:
Infobox
(
-160
properties)
Infobox
Patent primary examiner of
US Patent 7093110 Register file in the register window system and controlling method thereof
US Patent 7100021 Barrier synchronization mechanism for processors of a systolic array
US Patent 7103756 Data processor with individually writable register subword locations
US Patent 7107432 VLIW processor with data spilling means
US Patent 7111154 Method and apparatus for NOP folding
US Patent 7117345 Non-stalling circular counterflow pipeline processor with reorder buffer
US Patent 7127591 Instruction control device and method therefor
US Patent 7130986 Determining if a register is ready to exchange data with a processing element
US Patent 7134001 Pipeline replay support for unaligned memory operations
US Patent 7136992 Method and apparatus for a stew-based loop predictor
US Patent 7139900 Data packet arithmetic logic devices and methods
US Patent 7143271 Automatic register backup/restore system and method
US Patent 7146487 Methods and apparatus to support conditional execution in a VLIW-based array processor with subword execution
US Patent 7146491 Apparatus and method for generating constant values
US Patent 7149881 Method and apparatus for improving dispersal performance in a processor through the use of no-op ports
US Patent 7152155 System and method of correcting a branch misprediction
US Patent 7159102 Branch control memory
US Patent 7162614 Elimination of potential renaming stalls due to use of partial registers
US Patent 7162616 Floating point unit pipeline synchronized with processor pipeline
US Patent 7178012 Semiconductor device
US Patent 7181601 Method and apparatus for prediction for fork and join instructions in speculative execution
US Patent 7188233 System and method for performing floating point store folding
US Patent 7188234 Run-ahead program execution with value prediction
US Patent 7194599 Configurable co-processor interface
US Patent 7194607 Method and apparatus for command translation and enforcement of ordering of commands
US Patent 7194608 Method, apparatus and computer program product for identifying sources of performance events
US Patent 7194610 Processor and pipeline reconfiguration control method
US Patent 7197628 Method and apparatus for execution flow synonyms
US Patent 7200742 System and method for creating precise exceptions
US Patent 7203826 Method and apparatus for managing a return stack
US Patent 7210024 Conditional instruction execution via emissary instruction for condition evaluation
US Patent 7237090 Configurable out-of-order data transfer in a coprocessor interface
US Patent 7237094 Instruction group formation and mechanism for SMT dispatch
US Patent 7237097 Partial bitwise permutations
US Patent 7240183 System and method for detecting instruction dependencies in multiple phases
US Patent 7249244 Data processing system
US Patent 7254697 Method and apparatus for dynamic modification of microprocessor instruction group at dispatch
US Patent 7257696 Methods and apparatus for initiating and resynchronizing multi-cycle SIMD instructions
US Patent 7257698 Instruction buffer and method of controlling the instruction buffer where buffer entries are issued in a predetermined order
US Patent 7260705 Apparatus to implement mesocode
US Patent 7260709 Processing method and apparatus for implementing systolic arrays
US Patent 7266674 Programmable delayed dispatch in a multi-threaded pipeline
US Patent 7269715 Instruction grouping history on fetch-side dispatch group formation
US Patent 7269720 Dynamically controlling execution of operations within a multi-operation instruction
US Patent 7272705 Early exception detection
US Patent 7275146 Instruction control device and method therefor
US Patent 7284114 Video processing system with reconfigurable instructions
US Patent 7287147 Configurable co-processor interface
US Patent 7299369 Power reduction in microprocessor systems
US Patent 7302555 Zero overhead branching and looping in time stationary processors
US Patent 7310722 Across-thread out of order instruction dispatch in a multithreaded graphics processor
US Patent 7313671 Processing apparatus, processing method and compiler
US Patent 7313673 Fine grained multi-thread dispatch block mechanism
US Patent 7313674 Instruction control device and method therefor
US Patent 7313675 Register allocation technique
US Patent 7315937 Microprocessor instructions for efficient bit stream extractions
US Patent 7320062 Apparatus, method, system and executable module for configuration and operation of adaptive integrated circuitry having fixed, application specific computational elements
US Patent 7320066 Branch predicting apparatus and branch predicting method
US Patent 7334111 Method and related device for use in decoding executable code
US Patent 7340628 Branch based activity monitoring
US Patent 7353370 Method and apparatus for processing an event occurrence within a multithreaded processor
US Patent 7356675 Data processor
US Patent 7360064 Thread interleaving in a multithreaded embedded processor
US Patent 7370178 Method for latest producer tracking in an out-of-order processor, and applications thereof
US Patent 7389408 Microarchitecture for compact storage of embedded constants
US Patent 7395409 Split embedded DRAM processor
US Patent 7395414 Dynamic recalculation of resource vector at issue queue for steering of dependent instructions
US Patent 7395415 Method and apparatus to provide a source operand for an instruction in a processor
US Patent 7404096 Microprocessor for reducing leakage power and method thereof
US Patent 7412591 Apparatus and method for switchable conditional execution in a VLIW processor
US Patent 7430612 Computing apparatus, computing program, and computing method
US Patent 7434039 Computer processor capable of responding with comparable efficiency to both software-state-independent and state-dependent events
US Patent 7434898 Computer system, computer program, and addition method
US Patent 7437534 Local and global register partitioning technique
US Patent 7444501 Methods and apparatus for recognizing a subroutine call
US Patent 7447887 Multithread processor
US Patent 7454597 Computer processing system employing an instruction schedule cache
US Patent 7457941 Vector processing system
US Patent 7475224 Register map unit supporting mapping of multiple register specifier classes
US Patent 7478226 Processing bypass directory tracking system and method
US Patent 7478227 Apparatus and method for optimizing loop buffer in reconfigurable processor
US Patent 7496732 Method and apparatus for results speculation under run-ahead execution
US Patent 7506136 Parallel data processing apparatus
US Patent 7506137 Methods and apparatus for initiating and resynchronizing multi-cycle SIMD instructions
US Patent RE40693 Method and apparatus for creating a wireframe and polygon virtual world
US Patent 7512772 Soft error handling in microprocessors
US Patent 7523446 User-space return probes
US Patent 7526630 Parallel data processing apparatus
US Patent 7529907 Method and apparatus for improved computer load and store operations
US Patent 7546476 Power reduction in microprocessor systems
US Patent 7558945 System and method for register renaming
US Patent 7571300 Modular distributive arithmetic logic unit
US Patent 7571305 Reusing a buffer memory as a microcache for program instructions of a detected program loop
US Patent 7590832 Information processing device, compressed program producing method, and information processing system
US Patent 7594097 Microprocessor output ports and control of instructions provided therefrom
US Patent 7596781 Register-based instruction optimization for facilitating efficient emulation of an instruction stream
US Patent 7606996 Array type operation device
US Patent 7610475 Programmable logic configuration for instruction extensions
US Patent 7617493 Defining memory indifferent trace handles
US Patent 7620796 System and method for acceleration of streams of dependent instructions within a microprocessor
US Patent 7620798 Latency tolerant pipeline synchronization
US Patent 7624254 Segmented pipeline flushing for mispredicted branches
US Patent 7627743 Method and circuit implementation for multiple-word transfer into/from memory subsystems
US Patent 7647480 Handling of conditional instructions in a data processing apparatus
US Patent 7647518 Replay reduction for power saving
US Patent 7650486 Dynamic recalculation of resource vector at issue queue for steering of dependent instructions
US Patent 7660974 Method and apparatus for analyzing performance, and computer product
US Patent 7669039 Use of register renaming system for forwarding intermediate results between constituent instructions of an expanded instruction
US Patent 7669040 Method and apparatus for executing a long transaction
US Patent 7669041 Instruction-parallel processor with zero-performance-overhead operand copy
US Patent 7676657 Across-thread out-of-order instruction dispatch in a multithreaded microprocessor
US Patent 7681019 Executing functions determined via a collection of operations from translated instructions
US Patent 7689815 Debug instruction for use in a data processing system
US Patent 7698449 Method and apparatus for configuring a processor embedded in an integrated circuit for use as a logic element
US Patent 7698533 Configurable co-processor interface
US Patent 7698594 Reconfigurable processor and reconfiguration method executed by the reconfigurable processor
US Patent 7707388 Computer memory architecture for hybrid serial and parallel computing systems
US Patent 7716454 Method and apparatus for matrix decomposition in programmable logic devices
US Patent 7721077 Performing endian conversion
US Patent 7725681 Parallel processing array
US Patent 7725698 Operation apparatus having sequencer controlling states of plurality of operation units and operation apparatus control method therefor
US Patent 7730285 Data processing system with partial bypass reorder buffer and combined load/store arithmetic logic unit and processing method thereof
US Patent 7734900 Computer configuration virtual topology discovery and instruction therefore
US Patent 7747839 Data processing apparatus and method for handling instructions to be executed by processing circuitry
US Patent 7747840 Method for latest producer tracking in an out-of-order processor, and applications thereof
US Patent 7765389 Management of exceptions and hardware interruptions by an exception simulator
US Patent 7774583 Processing bypass register file system and method
US Patent 7779230 Data flow execution of methods in sequential programs
US Patent 7779233 System and method for implementing a software-supported thread assist mechanism for a microprocessor
US Patent 7779234 System and method for implementing a hardware-supported thread assist under load lookahead mechanism for a microprocessor
US Patent 7779238 Method and apparatus for precisely identifying effective addresses associated with hardware events
US Patent 7788471 Data processor and methods thereof
US Patent 7797513 Non-blocking, multi-context pipelined processor
US Patent 7802252 Method and apparatus for selecting the architecture level to which a processor appears to conform
US Patent 7818540 Vector processing system
US Patent 7844796 Data processing device and method
US Patent 7853860 Programmable signal and processing circuit and method of depuncturing
US Patent 7874009 Data processing device
US Patent 7886129 Configurable co-processor interface
US Patent 7886135 Pipeline replay support for unaligned memory operations
US Patent 7890733 Processor memory system
US Patent 7890740 Processor comprising a first and a second mode of operation and method of operating the same
US Patent 7917735 Data processing apparatus and method for pre-decoding instructions
US Patent 7917736 Latency tolerant pipeline synchronization
US Patent 7925866 Data processing apparatus and method for handling instructions to be executed by processing circuitry
US Patent 7925867 Pre-decode checking for pre-decoded instructions that cross cache line boundaries
US Patent 7930686 Defining memory indifferent trace handles
US Patent 7934081 Apparatus and method for using branch prediction heuristics for determination of trace formation readiness
US Patent 7934082 Information processing apparatus and exception control circuit
US Patent 7937566 Processing bypass directory tracking system and method
US Patent 7958332 Parallel data processing apparatus
US Patent RE42466 Branch predicting apparatus and branch predicting method
US Patent 7966475 Parallel data processing apparatus
US Patent 7975132 Apparatus and method for fast correct resolution of call and return instructions using multiple call/return stacks in the presence of speculative conditional instruction execution in a pipelined microprocessor
US Patent 7979673 Method and apparatus for matrix decompositions in programmable logic devices
US Patent 7979675 Pipelined microprocessor with fast non-selective correct conditional branch instruction resolution
US Patent 7979678 System and method for register renaming
US Patent 7984275 Computer configuration virtual topology discovery and instruction therefore
US Patent 7987340 Communications in a processor array
US Patent 7996618 Apparatus and method for using branch prediction heuristics for determination of trace formation readiness
Edits on 8 Dec, 2021
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7996618 Apparatus and method for using branch prediction heuristics for determination of trace formation readiness
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7987340 Communications in a processor array
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7984275 Computer configuration virtual topology discovery and instruction therefore
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7979673 Method and apparatus for matrix decompositions in programmable logic devices
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7979678 System and method for register renaming
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7979675 Pipelined microprocessor with fast non-selective correct conditional branch instruction resolution
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7975132 Apparatus and method for fast correct resolution of call and return instructions using multiple call/return stacks in the presence of speculative conditional instruction execution in a pipelined microprocessor
Edits on 8 Dec, 2021
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7966475 Parallel data processing apparatus
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent RE42466 Branch predicting apparatus and branch predicting method
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7958332 Parallel data processing apparatus
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7937566 Processing bypass directory tracking system and method
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7934082 Information processing apparatus and exception control circuit
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7934081 Apparatus and method for using branch prediction heuristics for determination of trace formation readiness
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7930686 Defining memory indifferent trace handles
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7925867 Pre-decode checking for pre-decoded instructions that cross cache line boundaries
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7925866 Data processing apparatus and method for handling instructions to be executed by processing circuitry
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7917735 Data processing apparatus and method for pre-decoding instructions
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7917736 Latency tolerant pipeline synchronization
Load more
Find more people like William M. Treat
Use the Golden Query Tool to discover related individuals, professionals, or experts with similar interests, expertise, or connections in the Knowledge Graph.
Open Query Tool
Access by API
Company
Home
Press & Media
Blog
Careers
WE'RE HIRING
Products
Knowledge Graph
Query Tool
Data Requests
Knowledge Storage
API
Pricing
Enterprise
ChatGPT Plugin
Legal
Terms of Service
Enterprise Terms of Service
Privacy Policy
Help
Help center
API Documentation
Contact Us
SUBSCRIBE