Create
Log in
Sign up
Golden has been acquired by ComplyAdvantage.
Read about it here ⟶
Leigh M. Garbowski
Overview
Structured Data
Issues
Contributors
Activity
All edits
Edits on 14 Dec, 2021
"Remove inverse infobox"
Golden AI
edited on 14 Dec, 2021
Edits made to:
Infobox
(
-124
properties)
Infobox
Patent primary examiner of
US Patent 7089510 Method and program product of level converter optimization
US Patent 7093215 Semiconductor circuit device and circuit simulation method for the same
US Patent 7093216 Apparatus connectable to a computer network for circuit design verification, computer implemented method for circuit design verification, and computer program product for controlling a computer system so as to verify circuit designs
US Patent 7100140 Generation of graphical congestion data during placement driven synthesis optimization
US Patent 7107570 Method and system for user-defined triggering logic in a hardware description language
US Patent 7111260 System and method for incremental statistical timing analysis of digital circuits
US Patent 7111270 Method and apparatus to adaptively validate a physical net routing topology of a substrate design
US Patent 7117455 System and method for derivative-free optimization of electrical circuits
US Patent 7117458 Identifying specific netlist gates for use in code coverage testing
US Patent 7117461 Method of estimating performance of integrated circuit designs using state point identification
US Patent 7124379 Method for communicating a measuring position of a structural element that is to be formed on a mask
US Patent 7124389 Automated wiring pattern layout method
US Patent 7124393 System and method for processing configuration information
US Patent 7127690 Method and system for defect evaluation using quiescent power plane current (IDDQ) voltage linearity
US Patent 7131083 Optimization of clock network capacitance on an integrated circuit
US Patent 7131090 Clocked gating based on measured performance
US Patent 7131100 Identifying phantom images generated by side-lobes
US Patent 7134096 System and method for design, procurement and manufacturing collaboration
US Patent 11176298 Method for modeling
US Patent 11176300 Systems and methods for creating individualized processing chips and assemblies
US Patent 11176309 System and method for validation of photonics device layout designs
US Patent 7146599 Method for using asymmetric OPC structures on line ends of semiconductor pattern layers
US Patent 7149986 Automated load determination for partitioned simulation
US Patent 7152218 Behavioral synthesis system, behavioral synthesis method, control program, readable recording medium, logic circuit production method, and logic circuit
US Patent 7155689 Design-manufacturing interface via a unified model
US Patent 7155692 Method and system for performing timing analysis on a circuit
US Patent 7162705 Dynamically reconfiguring clock domains on a chip
US Patent 7168056 System and method for verifying trace distances of a PCB layout
US Patent 7168058 Printed circuit wiring board designing support device, printed circuit board designing method, and its program
US Patent 7171641 Voltage change reflecting delay calculation method, and voltage change reflecting delay calculation system
US Patent 7174521 System and method for product yield prediction
US Patent 7174526 Accurate density calculation with density views in layout databases
US Patent 7178120 Method for performing timing closure on VLSI chips in a distributed environment
US Patent 7178122 Semiconductor integrated circuit, method of designing semiconductor integrated circuit, and device for designing the same
US Patent 7181721 Short edge management in rule based OPC
US Patent 7185305 Creating a power distribution arrangement with tapered metal wires for a physical design
US Patent 7188326 Methods for designing and testing semiconductor integrated circuits with plural clock groups
US Patent 7191416 System and method for modifying integrated circuit hold times
US Patent 7191427 Method for mapping a logic circuit to a programmable look up table (LUT)
US Patent 7194706 Designing scan chains with specific parameter sensitivities to identify process defects
US Patent 7194708 Generation of clock gating function for synchronous circuit
US Patent 7194724 High level synthesis method and high level synthesis apparatus
US Patent 7194725 System and method for design rule creation and selection
US Patent 7197724 Modeling a logic design
US Patent 7197734 Method and apparatus for designing systems using logic regions
US Patent 7210112 Element placement method and apparatus
US Patent 7210113 Process and apparatus for placing cells in an IC floorplan
US Patent 7210114 Redistribution metal for output driver slew rate control
US Patent 7213222 Method of HDL simulation considering hard macro core with negative setup/hold time
US Patent 7216308 Method and apparatus for solving an optimization problem in an integrated circuit layout
US Patent 7216326 Resource activity aware system for determining a resource interconnection pattern within an essentially digital device and devices created therewith
US Patent 7219315 Comparison of semiconductor circuitry simulations
US Patent 7219320 Semiconductor integrated circuit timing analysis apparatus timing analysis method and timing analysis program
US Patent 7222318 Circuit optimization for minimum path timing violations
US Patent 7222319 Timing analysis method and apparatus
US Patent 7222326 Automatic process and design method, system and program product
US Patent 7225423 Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks
US Patent 7228509 Design tools for configurable serial communications protocols
US Patent 7228517 Integrated circuit design method and system
US Patent 7231623 Netlist database
US Patent 7234125 Timing analysis for programmable logic
US Patent 7234126 Task concurrency management design method
US Patent 7234129 Calculating etch proximity-correction using object-precision techniques
US Patent 7237212 Method and apparatus for reducing timing pessimism during static timing analysis
US Patent 7240310 Method, system and program product for evaluating a circuit
US Patent 7243326 Client-specific circuit board with isolated redundant systems
US Patent 7246331 Method for optimizing integrated circuit device design and service
US Patent 7246338 Method and apparatus for computing cost of a path expansion to a surface
US Patent 7246343 Method for correcting position-dependent distortions in patterning of integrated circuits
US Patent 7251795 Connecting verilog-AMS and VHDL-AMS components in a mixed-language mixed-signal design
US Patent 7254792 Accounting for the effects of dummy metal patterns in integrated circuits
US Patent 7254794 Timing performance analysis
US Patent 7257781 Method, circuit library and computer program product for implementing enhanced performance and reduced leakage current for ASIC designs
US Patent 7257795 Method and apparatus for facilitating effective and efficient optimization of short-path timing constraints
US Patent 7260791 Integrated circuit designing system, method and program
US Patent 7260798 Compilation of remote procedure calls between a timed HDL model on a reconfigurable hardware platform and an untimed model on a sequential computing platform
US Patent 7263679 Semiconductor integrated circuit device with boundary scan test and design automation apparatus, boundary scan test method and program
US Patent 7266801 Design pattern correction method and mask pattern producing method
US Patent 7269812 Apparatus and method for performing static timing analysis of an integrated circuit design
US Patent 7269813 Off-width pitch for improved circuit card routing
US Patent 7272810 Semiconductor integrated circuit having multi-level interconnection, CAD method and CAD tool for designing the semiconductor integrated circuit
US Patent 7278126 Method and apparatus for fixing hold time violations in a circuit design
US Patent 7290237 Method for programming a mask-programmable logic device and device so programmed
US Patent 7290241 Method and system for managing behavior of algorithms
US Patent 7296246 Multi-domain clock skew scheduling
US Patent 7296251 Method of physical planning voltage islands for ASICs and system-on-chip designs
US Patent 7299428 Model stamping matrix check technique in circuit simulator
US Patent 7302663 Automatic antenna diode insertion for integrated circuits
US Patent 7302665 Method and apparatus for designing a layout, and computer product
US Patent 7308657 Method for generating hints for program analysis
US Patent 7308661 Method and apparatus for characteristic impedance discontinuity reduction in high-speed flexible circuit applications
US Patent 7310785 Video processing architecture definition by function graph methodology
US Patent 7310790 Automatic symbolic indexing methods for formal verification on a symbolic lattice domain
US Patent 7313775 Integrated circuit with relocatable processor hardmac
US Patent 7313781 Image data correction method, lithography simulation method, image data correction system, program, mask and method of manufacturing a semiconductor device
US Patent 7318208 Method for circuit sensitivity driven parasitic extraction
US Patent 7318210 Method and apparatus for performing incremental placement for layout-driven optimizations on field programmable gate arrays
US Patent 7318213 Apparatus, method and program for behavioral synthesis including loop processing
US Patent 7325207 Automatic device strength based sensitization generation for sequential elements
US Patent 7325221 Logic system with configurable interface
US Patent 7325222 Method and apparatus for verifying the post-optical proximity corrected mask wafer image sensitivity to reticle manufacturing errors
US Patent 7328415 Modeling blocks of an integrated circuit for timing verification
US Patent 7337416 Method of using strongly coupled components to estimate integrated circuit performance
US Patent 7340711 Method and apparatus for local preferred direction routing
US Patent 7343577 Area array routing masks for improved escape of devices on PCB
US Patent 7343582 Optical proximity correction using progressively smoothed mask shapes
US Patent 7346870 System and method for verifying trace widths of a PCB layout
US Patent 7346875 Wiring optimizations for power
US Patent 7346879 Symmetric signal distribution through abutment connection
US Patent RE40188 System and method for providing an integrated circuit with a unique identification
US Patent 7353492 Method of IC fabrication, IC mask fabrication and program product therefor
US Patent 7356786 Method and user interface for debugging an electronic system
US Patent 7356788 Method and apparatus for data hierarchy maintenance in a system for mask description
US Patent 7356800 System and method for product yield prediction
US Patent 7360188 Method and apparatus for characteristics impedance discontinuity reduction in high-speed flexible circuit applications
US Patent 7363599 Method and system for matching a hierarchical identifier
US Patent 11182532 Hierarchical density uniformization for semiconductor feature surface planarization
US Patent 7367005 Method and apparatus for designing a layout, and computer product
US Patent 7370294 Design techniques for low leakage circuits based on delay statistics
US Patent 7370299 Method and computer program product for register transfer level power estimation in chip design
US Patent 7373625 System and method for product yield prediction
US Patent 7392493 Techniques for super fast buffer insertion
US Patent 11188705 Pin accessibility prediction engine
US Patent 11189864 Electronic device and control method
Edits on 2 Dec, 2021
Golden AI
edited on 2 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 11189864 Electronic device and control method
Golden AI
edited on 2 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 11188705 Pin accessibility prediction engine
Edits on 30 Nov, 2021
Golden AI
edited on 30 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7392493 Techniques for super fast buffer insertion
Edits on 26 Nov, 2021
Golden AI
edited on 26 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7373625 System and method for product yield prediction
Golden AI
edited on 26 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7370299 Method and computer program product for register transfer level power estimation in chip design
Golden AI
edited on 26 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7370294 Design techniques for low leakage circuits based on delay statistics
Edits on 26 Nov, 2021
Golden AI
edited on 26 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7367005 Method and apparatus for designing a layout, and computer product
Golden AI
edited on 25 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 11182532 Hierarchical density uniformization for semiconductor feature surface planarization
Edits on 25 Nov, 2021
Golden AI
edited on 25 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7363599 Method and system for matching a hierarchical identifier
Golden AI
edited on 25 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7360188 Method and apparatus for characteristics impedance discontinuity reduction in high-speed flexible circuit applications
Golden AI
edited on 25 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7356800 System and method for product yield prediction
Golden AI
edited on 25 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7356788 Method and apparatus for data hierarchy maintenance in a system for mask description
Golden AI
edited on 25 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7356786 Method and user interface for debugging an electronic system
Golden AI
edited on 25 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7353492 Method of IC fabrication, IC mask fabrication and program product therefor
Edits on 24 Nov, 2021
Golden AI
edited on 24 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent RE40188 System and method for providing an integrated circuit with a unique identification
Golden AI
edited on 24 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7346879 Symmetric signal distribution through abutment connection
Golden AI
edited on 24 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7346875 Wiring optimizations for power
Golden AI
edited on 24 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7346870 System and method for verifying trace widths of a PCB layout
Golden AI
edited on 23 Nov, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7343582 Optical proximity correction using progressively smoothed mask shapes
Load more
Find more people like Leigh M. Garbowski
Use the Golden Query Tool to discover related individuals, professionals, or experts with similar interests, expertise, or connections in the Knowledge Graph.
Open Query Tool
Access by API
Company
Home
Press & Media
Blog
Careers
WE'RE HIRING
Products
Knowledge Graph
Query Tool
Data Requests
Knowledge Storage
API
Pricing
Enterprise
ChatGPT Plugin
Legal
Terms of Service
Enterprise Terms of Service
Privacy Policy
Help
Help center
API Documentation
Contact Us
SUBSCRIBE