Create
Log in
Sign up
Golden has been acquired by ComplyAdvantage.
Read about it here ⟶
Novellus Systems
Novellus Systems is a San Jose, California-based company founded in 1980.
Overview
Structured Data
Issues
Contributors
Activity
All edits
Edits on 26 Jan, 2024
"prospector:3651:3884260"
Katrina-Kay Pettitt
edited on 26 Jan, 2024
Edits made to:
Infobox
(
-1
properties)
Infobox
Facebook URL
https://m.facebook.com/profile.php?id=107740269249036
Edits on 14 Dec, 2023
"NL fact extraction"
Golden Au
edited on 14 Dec, 2023
Edits made to:
Infobox
(
+2
/
-1
properties)
Infobox
Founded Date
January 1, 1984
Founded Date
1980
0
Number of Employees (Ranges)
5,001 – 10,000
0
Edits on 6 May, 2023
"Covert AngelList URL to Wellfound ID"
Golden AI
edited on 6 May, 2023
Edits made to:
Infobox
(
+1
properties)
Infobox
Wellfound ID
novellus-systems
Edits on 4 Mar, 2023
"Edit from table cell"
Andrii Chyzhenok
edited on 4 Mar, 2023
Edits made to:
Infobox
(
+1
properties)
Infobox
Twitter URL
https://twitter.com/NovellusSystems
Edits on 22 Sep, 2022
"Edit from table cell"
Petrushkevych Anastasiia
edited on 22 Sep, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Facebook URL
https://m.facebook.com/profile.php?id=107740269249036
"Edit from table cell"
Petrushkevych Anastasiia
edited on 22 Sep, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
AngelList URL
https://angel.co/company/novellus-systems/jobs
Edits on 18 Aug, 2022
"update inverses"
Golden AI
edited on 18 Aug, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Acquisition Transaction
Acquisition of Novellus Systems by Lam Research
Edits on 16 Aug, 2022
Войдило Артур Игоревич
edited on 16 Aug, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
B2X
B2B
Edits on 31 Jul, 2022
"Edit from table cell"
Bezmenov Serhii
edited on 31 Jul, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Pitchbook URL
https://pitchbook.com/profiles/company/41956-93
"Edit from table cell"
Bezmenov Serhii
edited on 31 Jul, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Crunchbase URL
https://www.crunchbase.com/organization/novellus-systems
Edits on 19 Jul, 2022
"https://www.google.com/search?gs_ssp=eJzj4tLP1TcwMq0sSDdQYDRgdGDwEsjLL0vNySktViiuLC5JzS0GAKJRCpM&q=novellus+systems&rlz=1C1SQJL_ruUA1012UA1012&oq=Novellus+Systems&aqs=chrome.1.0i355i512j46i199i465i512j0i512l3j0i22i30l2j69i60.1853j0j7&sourceid=chrome&"
Anzhelika Yurchuk
edited on 19 Jul, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
CEO
Martin Anstice
Edits on 10 Jun, 2022
"Entity importer update"
Golden AI
edited on 10 Jun, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Is a
Organization
Edits on 24 May, 2022
Pavel Alekseevich
edited on 24 May, 2022
Edits made to:
Novellus Systems
Edits on 20 May, 2022
"Infobox creation from: Wikidata data enrichment"
Golden AI
approved a suggestion from Golden's AI on 20 May, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Founded date
January 1, 1984
"Edit from table cell"
Ольга Дрокина
edited on 20 May, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Company Operating Status
Active
Edits on 8 Apr, 2022
"Patent autocalculation"
Golden AI
edited on 8 Apr, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Patents assigned (count)
424
Edits on 14 Dec, 2021
"Remove inverse infobox"
Golden AI
edited on 14 Dec, 2021
Edits made to:
Infobox
(
-276
properties)
Infobox
Patents
US Patent 7091134 Deposition of integrated circuit fabrication materials using a print head
US Patent 7094713 Methods for improving the cracking resistance of low-k dielectric materials
US Patent 7097410 Methods and apparatus for controlled-angle wafer positioning
US Patent 7097878 Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO
US Patent 7105061 Method and apparatus for sealing substrate load port in a high pressure reactor
US Patent 7105082 Composition and method for electrodeposition of metal on a work piece
US Patent 7107998 Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
US Patent 7109129 Optimal operation of conformal silica deposition reactors
US Patent 7115017 Methods for controlling the pressures of adjustable pressure zones of a work piece carrier during chemical mechanical planarization
US Patent 7122485 Deposition profile modification through process chemistry
US Patent 7125313 Apparatus and method for abrading a workpiece
US Patent 7129189 Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US Patent 7135418 Optimal operation of conformal silica deposition reactors
US Patent 7141494 Method for reducing tungsten film roughness and improving step coverage
US Patent 7144806 ALD of tantalum using a hydride reducing agent
US Patent 7144822 High density plasma process for optimum film quality and electrical results
US Patent 7146994 Active rinse shield for electrofill chemical bath and method of use
US Patent 7148155 Sequential deposition/anneal film densification method
US Patent 7154731 Reflective coating for electrostatic chucks
US Patent 7157798 Selective refractory metal and nitride capping
US Patent 7160802 Adhesion of tungsten nitride films to a silicon surface
US Patent 7160813 Etch back process approach in dual source plasma reactors
US Patent 7163889 Film for copper diffusion barrier
US Patent 7163896 Biased H
US Patent 7163899 Localized energy pulse rapid thermal anneal dielectric film densification method
US Patent 7166531 VLSI fabrication processes for introducing pores into dielectric materials
US Patent 7176039 Dynamic modification of gap fill process characteristics
US Patent 7176140 Adhesion promotion for etch by-products
US Patent 7176144 Plasma detemplating and silanol capping of porous dielectric films
US Patent 7179351 Methods and apparatus for magnetron sputtering
US Patent 7182673 Method and apparatus for post-CMP cleaning of a semiconductor work piece
US Patent 7186648 Barrier first method for single damascene trench applications
US Patent 7189140 Methods using eddy current for calibrating a CMP tool
US Patent 7189432 Varying conductance out of a process region to control gas flux in an ALD reactor
US Patent 7189647 Sequential station tool for wet processing of semiconductor wafers
US Patent 7195548 Method and apparatus for post-CMP cleaning of a semiconductor work piece
US Patent 7195696 Electrode assembly for electrochemical processing of workpiece
US Patent 7195700 Method of electroplating copper layers with flat topography
US Patent 7198545 Method of calibration and data evaluation for eddy current metrology systems
US Patent 7199048 Method for preventing metalorganic precursor penetration into porous dielectrics
US Patent 7201828 Planar plating apparatus
US Patent 7201829 Mask plate design
US Patent 7202176 Enhanced stripping of low-k films using downstream gas mixing
US Patent 7202185 Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US Patent 7204743 Integrated circuit interconnect fabrication systems
US Patent 7204917 Workpiece surface influencing device designs for electrochemical mechanical processing and method of using the same
US Patent 7204924 Method and apparatus to deposit layers with uniform properties
US Patent 7208389 Method of porogen removal from porous low-k films using UV radiation
US Patent 7211174 Method and system to provide electrical contacts for electrotreating processes
US Patent 7211175 Method and apparatus for potential controlled electroplating of fine patterns on semiconductor wafers
US Patent 7211186 Method and system to provide electrical contacts for electrotreating processes
US Patent 7211509 Method for enhancing the nucleation and morphology of ruthenium films on dielectric substrates using amine containing compounds
US Patent 7211525 Hydrogen treatment enhanced gap fill
US Patent 7214630 PMOS transistor with compressive dielectric capping layer
US Patent 7217398 Deposition reactor with precursor recycle
US Patent 7217658 Process modulation to prevent structure erosion during gap fill
US Patent 7223707 Dynamic rapid vapor deposition process for conformal silica laminates
US Patent 7229339 CMP apparatus and method
US Patent 7232513 Electroplating bath containing wetting agent for defect reduction
US Patent 7239017 Low-k B-doped SiC copper diffusion barrier films
US Patent 7241704 Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US Patent 7247558 Method and system for electroprocessing conductive layers
US Patent 7250103 Method and apparatus for eliminating defects and improving uniformity in electrochemically processed conductive layers
US Patent 7253125 Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US Patent 7257893 Efficient wafer processing technology
US Patent 7262125 Method of forming low-resistivity tungsten interconnects
US Patent 7264537 Methods for monitoring a chemical mechanical planarization process of a metal layer using an in-situ eddy current measuring system
US Patent 7265061 Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US Patent 7271112 Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US Patent 7273808 Reactive barrier/seed preclean process for damascene process
US Patent 7279417 Use of metallocenes to inhibit copper oxidation during semiconductor processing
US Patent 7282438 Low-k SiC copper diffusion barrier films
US Patent 7288463 Pulsed deposition layer gap fill with expansion material
US Patent 7288484 Photoresist strip method for low-k dielectrics
US Patent 7294583 Methods for the use of alkoxysilanol precursors for vapor deposition of SiO
US Patent 7297239 Method and apparatus for the electrochemical deposition and planarization of a material on a workpiece surface
US Patent 7297608 Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US Patent 7309406 Method and apparatus for plating and polishing semiconductor substrate
US Patent 7309407 Method and apparatus for forming an electrical contact with a semiconductor substrate
US Patent 7309413 Providing electrical contact to the surface of a semiconductor workpiece during processing
US Patent 7311811 Device providing electrical contact to the surface of a semiconductor workpiece during processing
US Patent 7316602 Constant low force wafer carrier for electrochemical mechanical processing and chemical mechanical polishing
US Patent 7318869 Variable gas conductance control for a process chamber
US Patent 7326444 Methods for improving integration performance of low stress CDO films
US Patent 7327001 PMOS transistor with compressive dielectric capping layer
US Patent 7327948 Cast pedestal with heating element and coaxial heat exchanger
US Patent 7329335 Device providing electrical contact to the surface of a semiconductor workpiece during processing
US Patent 7335092 Carrier head for workpiece planarization/polishing
US Patent 7335288 Methods for depositing copper on a noble metal layer of a work piece
US Patent 7338908 Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
US Patent 7341649 Apparatus for electroprocessing a workpiece surface
US Patent 7341761 Methods for producing low-k CDO films
US Patent 7341946 Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US Patent 7344996 Helium-based etch process in deposition-etch-deposition gap fill
US Patent 7348042 Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US Patent 7354335 CMP apparatus and load cup mechanism
US Patent 7361582 Method of forming a damascene structure with integrated planar dielectric layers
US Patent 7378004 Pad designs and structures for a versatile materials processing apparatus
US Patent 7381451 Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US Patent 7381644 Pulsed PECVD method for modulating hydrogen content in hard mask
US Patent 7381662 Methods for improving the cracking resistance of low-k dielectric materials
US Patent 7390537 Methods for producing low-k CDO films with low residual stress
US Patent 7391086 Conductive contacts and methods for fabricating conductive contacts for elctrochemical planarization of a work piece
US Patent 7396759 Protection of Cu damascene interconnects by formation of a self-aligned buffer layer
US Patent 7399516 Long-life workpiece surface influencing device structure and manufacturing method
US Patent 7399712 Method for etching organic hardmasks
US Patent 7402098 Carrier head for workpiece planarization/polishing
US Patent 7404886 Plating by creating a differential between additives disposed on a surface portion and a cavity portion of a workpiece
US Patent 7405157 Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US Patent 7405163 Selectively accelerated plating of metal features
US Patent 7413616 Active rinse shield for electrofill chemical bath and method of use
US Patent 7416975 Method of forming contact layers on substrates
US Patent 7416989 Adsorption based material removal process
US Patent 7420275 Boron-doped SIC copper diffusion barrier films
US Patent 7422700 Compositions and methods of electrochemical removal of material from a barrier layer of a wafer
US Patent 7425250 Electrochemical mechanical processing apparatus
US Patent 7425506 Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US Patent 7427337 System for electropolishing and electrochemical mechanical polishing
US Patent 7428470 Method for monitoring edge exclusion during chemical mechanical planarization
US Patent 7435323 Method for controlling thickness uniformity of electroplated layers
US Patent 7435684 Resolving of fluorine loading effect in the vacuum chamber
US Patent 7442267 Anneal of ruthenium seed layer to improve copper plating
US Patent 7446032 Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US Patent 7449098 Method for planar electroplating
US Patent 7449099 Selectively accelerated plating of metal features
US Patent 7456101 Method for enhancing the nucleation and morphology of ruthenium films on dielectric substrates using amine containing compounds
US Patent 7456102 Electroless copper fill process
US Patent 7468322 Methods of multi-step electrochemical mechanical planarization of Cu
US Patent 7473653 Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US Patent 7476304 Apparatus for processing surface of workpiece with small electrodes and surface contacts
US Patent 7476621 Halogen-free noble gas assisted H
US Patent 7477948 Apparatus and methods for precompiling program sequences for wafer processing
US Patent 7479191 Method for endpointing CVD chamber cleans following ultra low-k film treatments
US Patent 7482245 Stress profile modulation in STI gap fill
US Patent 7482247 Conformal nanolaminate dielectric deposition and etch bag gap fill process
US Patent 7491308 Method of making rolling electrical contact to wafer front surface
US Patent 7491653 Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US Patent 7503334 Apparatus and methods for processing semiconductor substrates using supercritical fluids
US Patent 7503830 Apparatus for reduction of defects in wet processed layers
US Patent 7510634 Apparatus and methods for deposition and/or etch selectivity
US Patent 7510982 Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US Patent 7514375 Pulsed bias having high pulse frequency for filling gaps with dielectric material
US Patent 7517444 Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US Patent 7524735 Flowable film dielectric gap fill process
US Patent 7531079 Method and apparatus for uniform electropolishing of damascene IC structures by selective agitation
US Patent 7531463 Fabrication of semiconductor interconnect structure
US Patent 7541200 Treatment of low k films with a silylating agent for damage repair
US Patent 7544115 Chemical mechanical polishing assembly with altered polishing pad topographical components
US Patent 7550070 Electrode and pad assembly for processing conductive layers
US Patent 7550851 Adhesion of tungsten nitride films to a silicon surface
US Patent 7558045 Electrostatic chuck assembly with capacitive sense feature, and related operating method
US Patent 7560016 Selectively accelerated plating of metal features
US Patent 7569123 Optimizing target erosion using multiple erosion regions in a magnetron sputtering apparatus
US Patent 7572354 Electrochemical processing of conductive surface
US Patent 7573061 Low-k SiC copper diffusion barrier films
US Patent 7576006 Protective self-aligned buffer layers for damascene interconnects
US Patent 7578923 Electropolishing system and process
US Patent 7582555 CVD flowable gap fill
US Patent 7585370 Gas-purged vacuum valve
US Patent 7585399 Rotating magnet arrays for magnetron sputtering apparatus
US Patent 7585777 Photoresist strip method for low-k dielectrics
US Patent 7589017 Methods for growing low-resistivity tungsten film
US Patent 7589028 Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US Patent 7601393 Controlling the temperature of a substrate in a film deposition apparatus
US Patent 7605082 Capping before barrier-removal IC fabrication method
US Patent 7611757 Method to improve mechanical strength of low-K dielectric film using modulated UV exposure
US Patent 7622024 High resistance ionic current source
US Patent 7622052 Methods for chemical mechanical planarization and for detecting endpoint of a CMP operation
US Patent 7622162 UV treatment of STI films for increasing tensile stress
US Patent 7622380 Method of improving adhesion between two dielectric films
US Patent 7622400 Method for improving mechanical properties of low dielectric constant materials
US Patent 7625820 Method of selective coverage of high aspect ratio structures with a conformal film
US Patent 7629224 VLSI fabrication processes for introducing pores into dielectric materials
US Patent 7629227 CVD flowable gap fill
US Patent 7632170 CMP apparatuses with polishing assemblies that provide for the passive removal of slurry
US Patent 7642202 Methods of forming moisture barrier for low k film integration with anti-reflective layers
US Patent 7645696 Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US Patent 7648622 System and method for electrochemical mechanical polishing
US Patent 7648899 Interfacial layers for electromigration resistance improvement in damascene interconnects
US Patent 7655567 Methods for improving uniformity and resistivity of thin tungsten films
US Patent 7659197 Selective resputtering of metal seed layers
US Patent 7670931 Methods for fabricating semiconductor structures with backside stress layers
US Patent 7678709 Method of forming low-temperature conformal dielectric films
US Patent 7682498 Rotationally asymmetric variable electrode correction
US Patent 7682966 Multistep method of depositing metal seed layers
US Patent 7686927 Methods and apparatus for controlled-angle wafer positioning
US Patent 7686935 Pad-assisted electropolishing
US Patent 7690324 Small-volume electroless plating cell
US Patent 7691749 Deposition of tungsten nitride
US Patent 7695597 Conductive planarization assembly for electrochemical mechanical planarization of a work piece
US Patent 7695765 Methods for producing low-stress carbon-doped oxide films with improved integration properties
US Patent 7696538 Sensor for measuring liquid contaminants in a semiconductor wafer fabrication process
US Patent 7700155 Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US Patent 7704873 Protective self-aligned buffer layers for damascene interconnects
US Patent 7704880 Method of forming contact layers on substrates
US Patent 7704894 Method of eliminating small bin defects in high throughput TEOS films
US Patent 7725205 Apparatus and methods for providing a homogenous I/O interface for controlling a heterogenous mixture of hardware I/O systems
US Patent 7727863 Sonic irradiation during wafer immersion
US Patent 7727880 Protective self-aligned buffer layers for damascene interconnects
US Patent 7727881 Protective self-aligned buffer layers for damascene interconnects
US Patent 7727882 Compositionally graded titanium nitride film for diffusion barrier applications
US Patent 7727906 H2-based plasma treatment to eliminate within-batch and batch-to-batch etch drift
US Patent 7731833 Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US Patent 7732314 Method for depositing a diffusion barrier for copper interconnect applications
US Patent 7737035 Dual seal deposition process chamber and process
US Patent 7737525 Method for producing low-K CDO films
US Patent 7740768 Simultaneous front side ash and backside clean
US Patent 7745332 PVD-based metallization methods for fabrication of interconnections in semiconductor devices
US Patent 7745346 Method for improving process control and film conformality of PECVD film
US Patent 7754014 Gas-purged vacuum valve
US Patent 7754061 Method for controlling conductor deposition on predetermined portions of a wafer
US Patent 7754604 Reducing silicon attack and improving resistivity of tungsten nitride film
US Patent 7772114 Method for improving uniformity and adhesion of low resistivity tungsten film
US Patent 7776741 Process for through silicon via filing
US Patent 7780867 Edge bevel removal of copper from silicon wafers
US Patent 7781327 Resputtering process for eliminating dielectric damage
US Patent 7781351 Methods for producing low-k carbon doped oxide films with low residual stress
US Patent 7790633 Sequential deposition/anneal film densification method
US Patent 7799200 Selective electrochemical accelerator removal
US Patent 7799671 Interfacial layers for electromigration resistance improvement in damascene interconnects
US Patent 7799684 Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US Patent 7799705 Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US Patent 7806983 Substrate temperature control in an ALD reactor
US Patent 7811153 High throughput servo load cup with integrated wet chemistry delivery
US Patent 7811925 Capping before barrier-removal IC fabrication method
US Patent 7820556 Method for purifying acetylene gas for use in semiconductor processes
US Patent 7842604 Low-k b-doped SiC copper diffusion barrier films
US Patent 7842605 Atomic layer profiling of diffusion barrier and metal seed layers
US Patent 7851232 UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US Patent 7854828 Method and apparatus for electroplating including remotely positioned second cathode
US Patent 7855147 Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US Patent 7858510 Interfacial layers for electromigration resistance improvement in damascene interconnects
US Patent 7863190 Method of selective coverage of high aspect ratio structures with a conformal film
US Patent 7871676 System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US Patent 7871678 Method of increasing the reactivity of a precursor in a cyclic deposition process
US Patent 7879218 Deposit morphology of electroplated copper
US Patent 7887392 Platen assembly and work piece carrier head employing flexible circuit sensor
US Patent 7887396 Method and apparatus for controlled slurry distribution
US Patent 7888233 Flowable film dielectric gap fill process
US Patent 7888273 Density gradient-free gap fill
US Patent 7892405 Methods and apparatus for magnetron sputtering
US Patent 7892985 Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US Patent 7897198 Electroless layer plating process and apparatus
US Patent 7897215 Sequential UV induced chemical vapor deposition
US Patent 7897516 Use of ultra-high magnetic fields in resputter and plasma etching
US Patent 7906174 PECVD methods for producing ultra low-k dielectric films using UV treatment
US Patent 7906817 High compressive stress carbon liners for MOS devices
US Patent 7915139 CVD flowable gap fill
US Patent 7915166 Diffusion barrier and etch stop films
US Patent 7922880 Method and apparatus for increasing local plasma density in magnetically confined plasma
US Patent 7923376 Method of reducing defects in PECVD TEOS films
US Patent 7923385 Methods for producing low stress porous and CDO low-K dielectric materials using precursors with organic functional groups
US Patent 7935231 Rapidly cleanable electroplating cup assembly
US Patent 7935940 Measuring in-situ UV intensity in UV cure tool
US Patent 7941039 Pedestal heat transfer and temperature control
US Patent 7947163 Photoresist-free metal deposition
US Patent 7951683 In-situ process layer using silicon-rich-oxide for etch selectivity in high AR gapfill
US Patent 7955972 Methods for growing low-resistivity tungsten for high aspect ratio and small features
US Patent 7955990 Method for improved thickness repeatability of PECVD deposited carbon films
US Patent 7960297 Load lock design for rapid wafer heating
US Patent 7964504 PVD-based metallization methods for fabrication of interconnections in semiconductor devices
US Patent 7964506 Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US Patent 7967969 Method of electroplating using a high resistance ionic current source
US Patent 7968436 Low-K SiC copper diffusion barrier films
US Patent 7972970 Fabrication of semiconductor interconnect structure
US Patent 7972976 VLSI fabrication processes for introducing pores into dielectric materials
US Patent 7977249 Methods for removing silicon nitride and other materials during fabrication of contacts
US Patent D641829 Plasma reactor showerhead face plate having concentric ridge pattern
US Patent 7981763 Atomic layer removal for high aspect ratio gapfill
US Patent 7981777 Methods of depositing stable and hermetic ashable hardmask films
US Patent 7981810 Methods of depositing highly selective transparent ashable hardmask films
US Patent 7985325 Closed contact electroplating cup assembly
US Patent 7993457 Deposition sub-chamber with variable flow
US Patent 7994640 Nanoparticle cap layer
US Patent 7998881 Method for making high stress boron-doped carbon films
US Patent 8003549 Methods of forming moisture barrier for low K film integration with anti-reflective layers
Edits on 8 Dec, 2021
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patents
US Patent 8003549 Methods of forming moisture barrier for low K film integration with anti-reflective layers
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patents
US Patent 7998881 Method for making high stress boron-doped carbon films
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patents
US Patent 7994640 Nanoparticle cap layer
Load more
Find more companies like Novellus Systems
Use the Golden Query Tool to find similar companies in the same industry, location, or by any other field in the Knowledge Graph.
Open Query Tool
Access by API
Company
Home
Press & Media
Blog
Careers
WE'RE HIRING
Products
Knowledge Graph
Query Tool
Data Requests
Knowledge Storage
API
Pricing
Enterprise
ChatGPT Plugin
Legal
Terms of Service
Enterprise Terms of Service
Privacy Policy
Help
Help center
API Documentation
Contact Us
SUBSCRIBE