Create
Log in
Sign up
Golden has been acquired by ComplyAdvantage.
Read about it here ⟶
Brook Kebede
Overview
Structured Data
Issues
Contributors
Activity
All edits
Edits on 26 Jan, 2024
"prospector:3651:3882629"
Katrina-Kay Pettitt
edited on 26 Jan, 2024
Edits made to:
Infobox
(
-1
properties)
Infobox
Facebook URL
https://www.facebook.com/profile.php?id=100008188299753
Edits on 20 Aug, 2022
"Edit from table cell"
Ruslan Goriunov
edited on 20 Aug, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Facebook URL
https://www.facebook.com/profile.php?id=100008188299753
Edits on 26 Jul, 2022
"Edit from table cell"
godwinno feliks
edited on 26 Jul, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Twitter URL
https://mobile.twitter.com/brookkebede5
Edits on 14 Dec, 2021
"Remove inverse infobox"
Golden AI
edited on 14 Dec, 2021
Edits made to:
Infobox
(
-512
properties)
Infobox
Patent primary examiner of
US Patent 7094664 Method for fabricating semiconductor photodetector
US Patent 7098067 Masked sidewall implant for image sensor
US Patent 7098482 Monolithic white light emitting device
US Patent 7101728 Programmable structure including an oxide electrolyte and method of forming programmable structure
US Patent 7101812 Method of forming and/or modifying a dielectric film on a semiconductor surface
US Patent 7102173 Nitride semiconductor device and method of manufacturing the same
US Patent 7105432 Method of locating conductive spheres utilizing screen and hopper of solder balls
US Patent 7105900 Reduced floating body effect static random access memory cells and methods for fabricating the same
US Patent 7112488 Source lines for NAND memory devices
US Patent 7112497 Multi-layer reducible sidewall process
US Patent 7112500 Thin film transistor, liquid crystal display and manufacturing method thereof
US Patent 7112525 Method for the assembly of nanowire interconnects
US Patent 7112887 Integrated circuit die and an electronic assembly having a three-dimensional interconnection scheme
US Patent 7115462 Processes providing high and low threshold p-type and n-type transistors
US Patent 7115528 Systems and method for forming silicon oxide layers
US Patent 7115533 Semiconductor device manufacturing method
US Patent 7115911 LED module and method of packaging the same
US Patent 7118977 System and method for improved dopant profiles in CMOS transistors
US Patent 7122442 Method and system for dopant containment
US Patent 7122445 Peeling method
US Patent 7125815 Methods of forming a phosphorous doped silicon dioxide comprising layer
US Patent 7129119 Method for fabricating semiconductor packages
US Patent 7129142 Method of forming doped regions in the bulk substrate of an SOI substrate to control the operational characteristics of transistors formed thereabove, and an integrated circuit device comprising same
US Patent 7129181 Sub-resolution gaps generated by controlled over-etching
US Patent 7129532 Image sensor and method for fabricating the same
US Patent 7132321 Vertical conducting power semiconductor devices implemented by deep etch
US Patent 7132724 Complete-charge-transfer vertical color filter detector
US Patent 11177549 Method of growing titanium nitride on silicon substrate free from silicon nitride interface by using a titanium seed layer
US Patent 7135358 Process for producing resin-sealed type electronic device
US Patent 7135386 Process for fabricating a semiconductor device
US Patent 7138333 Process for sealing plasma-damaged, porous low-k materials
US Patent 7138660 Light emitting device
US Patent 7138699 Semiconductor integrated circuit and noncontact information medium
US Patent 7141439 Transistor-level signal cutting method and structure
US Patent 7141836 Pixel sensor having doped isolation structure sidewall
US Patent 7141878 Semiconductor device and manufacturing method thereof
US Patent 7145213 MEMS RF switch integrated process
US Patent 7148113 Semiconductor device and fabricating method thereof
US Patent 7148123 Synthesis of layers, coatings or films using collection layer
US Patent 7151012 Redistribution layer of wafer and the fabricating method thereof
US Patent 7151317 Multi-chip package structure
US Patent 7154123 Nitride-based semiconductor light-emitting device
US Patent 7154169 Substrate for IC package
US Patent 7157306 Method of and system for manufacturing organic EL devices
US Patent 7157326 Process for fabricating capacitor element
US Patent 7157339 Method for fabricating semiconductor devices having dual gate oxide layers
US Patent 7157371 Barrier layer and a method for suppressing diffusion processes during the production of semiconductor devices
US Patent 7160809 Process and device for the deposition of an at least partially crystalline silicium layer on a substrate
US Patent 7161181 Thin film transistor device and method of manufacturing the same
US Patent 7161195 Semiconductor device and fabrication process thereof
US Patent 7163867 Method for slowing down dopant-enhanced diffusion in substrates and devices fabricated therefrom
US Patent 7166515 Implanted hidden interconnections in a semiconductor device for preventing reverse engineering
US Patent 7169626 Method for detecting alignment mark shielding
US Patent 7169635 Programmable structure, an array including the structure, and methods of forming the same
US Patent 7170156 Laminar multi-layer piezoelectric roll component
US Patent 7170159 Low CTE substrates for use with low-k flip-chip package devices
US Patent 7170164 Cooling system for a semiconductor device and method of fabricating same
US Patent 7172922 CMOS image sensor array with black pixel using negative-tone resist support layer
US Patent 7172929 Semiconductor thin film and method of manufacturing the same and semiconductor device and method of manufacturing the same
US Patent 7173338 Suppression of localized metal precipitate formation and corresponding metallization depletion in semiconductor processing
US Patent 7176040 Inkjet-fabricated integrated circuits
US Patent 7176076 Semiconductor CMOS devices and methods with NMOS high-k dielectric present in core region that mitigate damage to dielectric materials
US Patent 7176492 Method for forming ceramic microstructures on a substrate using a mold and articles formed by the method
US Patent 7176516 Structure and fabricating method to make a cell with multi-self-alignment in split gate flash
US Patent 7179694 Semiconductor device, electro-optical device, integrated circuit and electronic equipment
US Patent 7179701 Transistor with high dielectric constant gate and method for forming the same
US Patent 7179712 Multibit ROM cell and method therefor
US Patent 7179726 Laser processing apparatus and laser processing process
US Patent 7180130 Method of fabricating semiconductor device
US Patent 7183121 Process for fabrication of a ferrocapacitor
US Patent 7183160 Manufacturing process for a flash memory and flash memory thus produced
US Patent 7183596 Composite gate structure in an integrated circuit
US Patent 7186663 High density plasma process for silicon thin films
US Patent 7187078 Bump structure
US Patent 7190039 Microelectronic imagers with shaped image sensors and methods for manufacturing microelectronic imagers
US Patent 7192827 Methods of forming capacitor structures
US Patent 7193276 Semiconductor devices with a source/drain regions formed on a recessed portion of an isolation layer
US Patent 7193321 IC package, inspection method of IC package mounting body, repairing method of IC package mounting body, and inspection pin for IC package mounting body
US Patent 7195933 Semiconductor device having a measuring pattern and a method of measuring the semiconductor device using the measuring pattern
US Patent 7195952 Schottky diode device with aluminum pickup of backside cathode
US Patent 7196419 Transport speed monitoring apparatus and semiconductor processing system utilizing the same
US Patent 7198975 Semiconductor methods and structures
US Patent 7198988 Method for eliminating backside metal peeling during die separation
US Patent 7202123 Mesa isolation technology for extremely thin silicon-on-insulator semiconductor devices
US Patent 7202129 Source lines for NAND memory devices
US Patent 7202138 Spin coating for maximum fill characteristic yielding a planarized thin film surface
US Patent 7202160 Method of forming an insulating structure having an insulating interlayer and a capping layer and method of forming a metal wiring structure using the same
US Patent 7202183 Method of filling gaps and methods of depositing materials using high density plasma chemical vapor deposition
US Patent 7202518 Integrated dynamic random access memory element, array and process for fabricating such elements
US Patent 7205566 Transistor-level signal cutting method and structure
US Patent 7205568 Solid state image pickup apparatus and radiation image pickup apparatus
US Patent 7208334 Method of manufacturing semiconductor device, acid etching resistance material and copolymer
US Patent 7208351 Electronic device and method of manufacture the same
US Patent 7208398 Metal-halogen physical vapor deposition for semiconductor device defect reduction
US Patent 7214568 Semiconductor device configured for reducing post-fabrication damage
US Patent 7214611 Imprinting-damascene process for metal interconnection
US Patent 7214612 Dual damascene structure and fabrication thereof
US Patent 7214630 PMOS transistor with compressive dielectric capping layer
US Patent 7220616 Methods for epoxy loc die attachment
US Patent 7220665 H
US Patent 7223634 Semiconductor device, method for manufacturing the same, circuit board, and electronic apparatus
US Patent 7223697 Chemical mechanical polishing method
US Patent 7227190 White light emitting device
US Patent 7232718 Method for forming a deep trench capacitor buried plate
US Patent 7235425 Semiconductor device and fabrication method for the same
US Patent 7235426 Method of backside grinding a bumped wafer
US Patent 7238545 Method for fabricating tandem thin film photoelectric converter
US Patent 7238581 Method of manufacturing a semiconductor device with a strained channel
US Patent 7238586 Seamless trench fill method utilizing sub-atmospheric pressure chemical vapor deposition technique
US Patent 7238587 Semiconductor device fabrication method
US Patent 7238623 Versatile system for self-aligning deposition equipment
US Patent 7241679 Method of manufacturing semiconductor device
US Patent 7241685 Semiconductor device and method of manufacturing the same
US Patent 7247532 High voltage transistor and method for fabricating the same
US Patent 7250365 Fabrication method of semiconductor integrated circuit device
US Patent 7250379 Method of forming metal oxide using an atomic layer deposition process
US Patent 7250667 Selectable open circuit and anti-fuse element
US Patent 7253098 Maintaining uniform CMP hard mask thickness
US Patent 7256139 Methods and apparatus for e-beam treatment used to fabricate integrated circuit devices
US Patent 7259108 Methods for fabricating strained layers on semiconductor substrates
US Patent 7262092 High-voltage CMOS-compatible capacitors
US Patent 7262438 LED mounting having increased heat dissipation
US Patent 7265036 Deposition of nano-crystal silicon using a single wafer chamber
US Patent 7265423 Technique for fabricating logic elements using multiple gate layers
US Patent 7271056 Method of fabricating a trench capacitor DRAM device
US Patent 7273793 Methods of filling gaps using high density plasma chemical vapor deposition
US Patent 7274065 Source lines for NAND memory devices
US Patent 7274078 Devices having vertically-disposed nanofabric articles and methods of making the same
US Patent 7276389 Article comprising metal oxide nanostructures and method for fabricating such nanostructures
US Patent 7276406 Transistor structure with dual trench for optimized stress effect and method therefor
US Patent 7279368 Method of manufacturing a vertical junction field effect transistor having an epitaxial gate
US Patent 7282398 Crystalline semiconductor thin film, method of fabricating the same, semiconductor device and method of fabricating the same
US Patent 7282429 Method of manufacturing Schottky diode device
US Patent 7282753 Vertical conducting power semiconducting devices made by deep reactive ion etching
US Patent 7285442 Stackable ceramic FBGA for high thermal applications
US Patent 7285500 Thin films and methods of making them
US Patent 7288464 MEMS packaging structure and methods
US Patent 7288781 Programmable structure, an array including the structure, and methods of forming the same
US Patent 7294556 Method of forming trench isolation in the fabrication of integrated circuitry
US Patent 7297587 Composite gate structure in an integrated circuit
US Patent 7300826 Manufacturing method of semiconductor and manufacturing method of semiconductor device
US Patent 7300885 Film formation apparatus and method for semiconductor process
US Patent 7303970 Method of fabricating dielectric mixed layers and capacitive element and use thereof
US Patent 7304000 Photoresist trimming process
US Patent 7306961 Optical device, surface emitting type device and method for manufacturing the same
US Patent 7306970 Method and apparatus for manufacturing an optoelectronic device
US Patent 7307021 Method for planarizing a thin film
US Patent 7307294 Circuit layout structure
US Patent 7309924 UBM for fine pitch solder ball and flip-chip packaging method using the same
US Patent 7314796 Methods for reducing wordline sheet resistance
US Patent 7317226 Patterned SOI by oxygen implantation and annealing
US Patent 7323371 Deposition method of insulating layers having low dielectric constant of semiconductor device, a thin film transistor substrate using the same and a method of manufacturing the same
US Patent 7323707 Initializing phase change memories
US Patent 7326606 Semiconductor processing methods
US Patent 7327001 PMOS transistor with compressive dielectric capping layer
US Patent 7329577 Method of manufacturing nonvolatile semiconductor storage device
US Patent 7332357 Method for fabricating semiconductor device
US Patent 7332381 Semiconductor device and method of manufacturing the same
US Patent 7332392 Trench-capacitor DRAM device and manufacture method thereof
US Patent 7332442 Systems and methods for forming metal oxide layers
US Patent 7335553 Method for forming trench capacitor and memory cell
US Patent 7335568 Method of forming doped regions in the bulk substrate of an SOI substrate to control the operational characteristics of transistors formed thereabove, and an integrated circuit device comprising same
US Patent 7338897 Method of fabricating a semiconductor device having metal wiring
US Patent 7341913 Method of manufacturing non-volatile memory
US Patent 7341941 Methods to facilitate etch uniformity and selectivity
US Patent 7341959 Plasma enhanced atomic layer deposition system and method
US Patent 7342255 High-brightness light-emitting diode
US Patent 7344899 Die assembly and method for forming a die on a wafer
US Patent 7344975 Method to reduce charge buildup during high aspect ratio contact etch
US Patent 7344996 Helium-based etch process in deposition-etch-deposition gap fill
US Patent 7348200 Method of growing non-polar a-plane gallium nitride
US Patent 7348232 Highly activated carbon selective epitaxial process for CMOS
US Patent 7352042 Radiation-emitting semiconductor device and method of manufacturing such a device
US Patent 7354850 Directionally controlled growth of nanowhiskers
US Patent 7358189 Copper clad laminate
US Patent 7358561 Source lines for NAND memory devices
US Patent 7361978 Laser diode packaging
US Patent 11183382 Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US Patent 7364956 Method for manufacturing semiconductor devices
US Patent 7365420 Semiconductor packages and methods for making and using same
US Patent 7368771 CMOS image sensor and method of fabricating the same
US Patent 7371640 Semiconductor device with floating trap type nonvolatile memory cell and method for manufacturing the same
US Patent 7371654 Manufacturing method of semiconductor device with filling insulating film into trench
US Patent 7372065 Programmable metallization cell structures including an oxide electrolyte, devices including the structure and method of forming same
US Patent 7372151 Ball grid array package and process for manufacturing same
US Patent 7374954 Ferroelectric register, and method for manufacturing capacitor of the same
US Patent 7375006 Peeling method
US Patent 7375022 Method of manufacturing wiring board
US Patent 7378306 Selective silicon deposition for planarized dual surface orientation integration
US Patent 7378704 Semiconductor constructions, and methods of forming semiconductor constructions
US Patent 7381579 Donor sheet, method of manufacturing the same, method of manufacturing TFT using the donor sheet, and method of manufacturing flat panel display device using the donor sheet
US Patent 7381591 Flip-chip adaptor package for bare die
US Patent 7381593 Method and apparatus for stacked die packaging
US Patent 7381595 High-density plasma oxidation for enhanced gate oxide performance
US Patent 7384842 Methods involving silicon-on-insulator trench memory with implanted plate
US Patent 7385219 Optimized solid electrolyte for programmable metallization cell devices and structures
US Patent 7385276 Semiconductor device, and method for manufacturing the same
US Patent 7388288 Flip chip metallization method and devices
US Patent 7390687 Microelectronic imagers with shaped image sensors and methods for manufacturing microelectronic imagers
US Patent 7390695 Diamond substrate and manufacturing method thereof
US Patent 7390714 Method of manufacturing semiconductor device having tungsten gates electrode
US Patent 7390716 Method of manufacturing flash memory device
US Patent 7396744 Method of forming a semiconductor thin film
US Patent 7399666 Atomic layer deposition of Zr
US Patent 7399672 Methods of forming nonvolatile memory devices
US Patent 7399688 Identification code drawing method, substrate, display module, and electronic apparatus
US Patent 7402477 Method of making a multiple crystal orientation semiconductor device
US Patent 7408250 Micromirror array device with compliant adhesive
US Patent 7410837 Method of manufacturing mounting substrate
US Patent 7410870 Methods of forming non-volatile memory devices and devices formed thereby
US Patent 7411296 Method, system, and apparatus for gravity assisted chip attachment
US Patent 7414263 Highly efficient organic light-emitting device using substrate or electrode having nanosized half-spherical convex and method for preparing the same
US Patent 7416925 Doped structure for finfet devices
US Patent 7417322 Multi-chip module with embedded package and method for manufacturing the same
US Patent 7419852 Low temperature methods of forming back side redistribution layers in association with through wafer interconnects, semiconductor devices including same, and assemblies
US Patent 7419861 Laser irradiation apparatus, laser irradiation method, semiconductor device, and method of manufacturing a semiconductor device
US Patent 7420231 Solid state imaging pick-up device and method of manufacturing the same
US Patent 7422917 Forming tapered lower electrode phase-change memories
US Patent 7423311 Atomic layer deposition of Zr
US Patent 7425744 Fabricating logic and memory elements using multiple gate layers
US Patent 7429493 Method for fabricating a magnetic head for perpendicular recording using a CMP lift-off and resistant layer
US Patent 7429521 Capillary underfill of stacked wafers
US Patent 7432193 Method for fabricating a thin film and a metal line of a semiconductor device
US Patent 7432597 Semiconductor device and method of manufacturing the same
US Patent 7435620 Low temperature methods of forming back side redistribution layers in association with through wafer interconnects
US Patent 7439090 Method for manufacturing a lower substrate of a liquid crystal display device
US Patent 7439128 Method of creating deep trench capacitor using a P+ metal electrode
US Patent 7439175 Method for fabricating a thin film and metal line of semiconductor device
US Patent 7439581 Transistors, semiconductor integrated circuit interconnections and methods of forming the same
US Patent 7442579 Methods to achieve precision alignment for wafer scale packages
US Patent 7442604 Methods and batch type atomic layer deposition apparatus for forming dielectric films and methods of manufacturing metal-insulator-metal capacitors including the dielectric films
US Patent 7442606 Method of manufacturing a semiconductor device
US Patent 7442983 Method for making a semiconductor device having a high-k gate dielectric
US Patent 7449365 Wafer-level flipchip package with IC circuit isolation
US Patent 7449373 Method of ion implanting for tri-gate devices
US Patent 7449389 Method for fabricating a semiconductor structure
US Patent 7452805 Aluminum based conductor for via fill and interconnect
US Patent 7456055 Process for forming an electronic device including semiconductor fins
US Patent 7459403 Method for reducing device and circuit sensitivity to electrical stress and radiation induced aging
US Patent 7473601 Method of fabricating flash memory device using sidewall process
US Patent 7473609 Surface treatment in preparation for contact placement
US Patent 7482185 Vertical pixel structures for emi-flective display and methods for making the same
US Patent 7482284 Deposition methods for forming silicon oxide layers
US Patent 7482682 Micro-device packaging
US Patent 7485535 Methods of fabricating semiconductor devices with a source/drain formed on a recessed portion of an isolation layer
US Patent 7485538 High performance SiGe HBT with arsenic atomic layer doping
US Patent 7485917 Split gate flash memory cell and fabrication method thereof
US Patent 7488662 Self-aligned vertical PNP transistor for high performance SiGe CBiCMOS process
US Patent 7491638 Method of forming an insulating capping layer for a copper metallization layer
US Patent 7498616 Compound semiconductor switch circuit device
US Patent 7501301 Low cost fabrication of microelectrode arrays for cell-based biosensors and drug discovery methods
US Patent 7501654 Liquid crystal display device and method for fabrication thereof
US Patent 7501690 Semiconductor ground shield method
US Patent 7504296 Semiconductor memory device and method for fabricating the same
US Patent 7507612 Flat panel display and fabrication method thereof
US Patent 7514353 Contact metallization scheme using a barrier layer over a silicide layer
US Patent 7514783 Semiconductor module
US Patent 11186742 Sealing resin composition, electronic component device, and method of manufacturing electronic component device
US Patent 11189494 Method of manufacturing semiconductor device
US Patent 11189561 Placing top vias at line ends by selective growth of via mask from line cut dielectric
US Patent 11189797 Display panel, plasma etching method and system
US Patent 7518175 Semiconductor memory device and method for fabricating the same
US Patent 7518201 Method for encapsulating an electrical component, and surface acoustic wave device encapsulated using said method
US Patent 7518240 Deposition pattern for eliminating backside metal peeling during die separation in semiconductor device fabrication
US Patent 7521310 Vertical thyristor in complementary SiGe bipolar process
US Patent 7521352 Method for manufacturing a semiconductor device
US Patent 7524773 Anti-reflective substrate and the manufacturing method thereof
US Patent 7527990 Solid state imaging device and producing method thereof
US Patent 7528483 Cooling system for a semiconductor device and method of fabricating same
US Patent 7531445 Formation of through-wafer electrical interconnections and other structures using a thin dielectric membrane
US Patent 7531469 Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US Patent 7531855 Multi-chip device and method for manufacturing the same
US Patent 7537952 Method of manufacturing MEMS device package
US Patent 7537968 Junction diode with reduced reverse current
US Patent 7537975 Organic thin film transistor and method of fabricating the same
US Patent 7538008 Method for producing a layer structure
US Patent 7538032 Low temperature method for fabricating high-aspect ratio vias and devices fabricated by said method
US Patent 7541211 Photoelectric conversion device, its manufacturing method, and image pickup device
US Patent 7541223 Array substrate and liquid crystal display apparatus having the same
US Patent 7541252 Methods of fabricating a semiconductor device including a self-aligned cell diode
US Patent 7541677 Semiconductor device comprising through-electrode interconnect
US Patent 7544533 Method and apparatus for providing an integrated circuit having p and n doped gates
US Patent 7544998 Prevention of parasitic channel in an integrated SOI process
US Patent 7547644 Methods and apparatus for forming barrier layers in high aspect ratio vias
US Patent 7550856 Grooved substrates for uniform underfilling solder ball assembled electronic devices
US Patent 7553725 Nonvolatile memory devices and methods of fabricating the same
US Patent 7553761 Method of fabricating semiconductor device
US Patent 7557447 Semiconductor device and method for manufacturing same
US Patent 7560299 Systems and methods of actuating MEMS display elements
US Patent 7560368 Insulated gate planar integrated power device with co-integrated Schottky diode and process
US Patent 7560722 Optimized solid electrolyte for programmable metallization cell devices and structures
US Patent 7560763 Semiconductor device and method for fabricating the same
US Patent 7563660 Silicon film, crystalline film and method for manufacturing the same
US Patent 7563671 Method for forming trench capacitor and memory cell
US Patent 7569494 Apparatus and method for deposition of thin films
US Patent 7569500 ALD metal oxide deposition process using direct oxidation
US Patent 7569501 ALD metal oxide deposition process using direct oxidation
US Patent 7569927 RF power transistor package
US Patent 7572650 Suppression of localized metal precipitate formation and corresponding metallization depletion in semiconductor processing
US Patent 7576007 Method for electrochemically mechanically polishing a conductive material on a substrate
US Patent 7582524 Method for preparing a memory structure
US Patent 7586128 Light-emitting apparatus
US Patent 7588947 Method of evaluating semiconductor device and method of manufacturing semiconductor device
US Patent 7588996 Oxide pattern forming method and patterning method of semiconductor device
US Patent 7595210 Method of manufacturing complementary metal oxide semiconductor image sensor
US Patent 7595234 Fabricating method for a metal oxide semiconductor transistor
US Patent 7598151 Semiconductor device fabrication method
US Patent 7598529 Semiconductor chip having a soldering layer sequence, and process for soldering a semiconductor chip
US Patent 7598596 Methods and apparatus for a dual-metal magnetic shield structure
US Patent 7598601 Current sensor
US Patent 7601584 Semiconductor array and method for manufacturing a semiconductor array
US Patent 7602066 Method of filling structures for forming via-first dual damascene interconnects
US Patent 7605037 Manufacturing method for an integrated semiconductor memory device and corresponding semiconductor memory device
US Patent 7605093 Method of fabricating iridium-based materials and structures on substrates, and iridium source reagents therefor
US Patent 7605094 Method of forming metal oxide using an atomic layer deposition process
US Patent 7605456 Inverter unit
US Patent 7608508 Method for manufacturing semiconductor device
US Patent 7612372 Method and system for laser thermal processing of semiconductor devices
US Patent 7615475 Method for fabricating landing polysilicon contact structures for semiconductor devices
US Patent 7618858 Method of fabricating a heterojunction bipolar transistor
US Patent 7622327 Covered devices in a semiconductor package
US Patent 7622335 Method for manufacturing a thin film transistor device
US Patent 7622780 Seek-scan probe (SSP) including see-saw scan probe with redundant tip
US Patent 7625784 Semiconductor device and method for manufacturing thereof
US Patent 7625800 Method of fabricating MOS transistor
US Patent 7629270 Remote plasma activated nitridation
US Patent 7629626 Semiconductor device having insulated gate bipolar transistor
US Patent 7633153 Semiconductor module
US Patent 7635655 Method for replacing a nitrous oxide based oxidation process with a nitric oxide based oxidation process for substrate processing
US Patent 7635878 Semiconductor device having insulated gate bipolar transistor
US Patent 7638367 Method of resin sealing electronic part
US Patent 7638372 Semiconductor device and method for manufacturing the same
US Patent 7638407 Method for forming capacitor of semiconductor device
US Patent 7642593 Nonvolatile memory device and method of fabricating the same
US Patent 7645678 Process of manufacturing a shallow trench isolation and process of treating bottom surface of the shallow trench for avoiding bubble defects
US Patent 7646015 Manufacturing method of semiconductor device and semiconductor device
US Patent 7646072 Semiconductor device and method for manufacturing the same
US Patent 7648862 Semiconductor device and method of manufacturing the same
US Patent 7648866 Method of manufacturing driving-device for unit pixel of organic light emitting display
US Patent 7649262 Suppression of localized metal precipitate formation and corresponding metallization depletion in semiconductor processing
US Patent 7651909 Method for fabricating metal-insulator-metal capacitor
US Patent 7651910 Methods of forming programmable memory devices
US Patent 7655548 Programmable power management using a nanotube structure
US Patent 7659163 Semiconductor devices having recessed structures and methods of forming the same
US Patent 7659203 Electroless deposition process on a silicon contact
US Patent 7659598 Semiconductor ground shield
US Patent 7662710 Formation of through-wafer electrical interconnections and other structures using a thin dielectric membrane
US Patent 7666773 Selective deposition of noble metal thin films
US Patent 7670898 Methods of forming semiconductor constructions
US Patent 7670942 Method of fabricating self-aligned contact pad using chemical mechanical polishing process
US Patent 7674644 Method for fabrication of group III nitride semiconductor
US Patent 7674663 Method of irradiating laser, laser irradiation system, and manufacturing method of semiconductor device
US Patent 7675094 Image sensor pixel having a transfer gate formed from P+ or N+ doped polysilicon
US Patent 7678708 Systems and methods for forming metal oxide layers
US Patent 7682887 Transistor having high mobility channel and methods
US Patent 7682919 Semiconductor process and PMOS varactor
US Patent 7682925 Capacitor and manufacturing method thereof
US Patent 7682927 Method of manufacturing semiconductor device
US Patent 7682948 Digital light valve
US Patent 7683405 MOS transistors having recesses with elevated source/drain regions
US Patent 7687386 Method of forming a semiconductor structure having metal migration semiconductor barrier layers
US Patent 7687391 Electrically optimized and structurally protected via structure for high speed signals
US Patent 7691664 Low viscosity precursor compositions and methods for the deposition of conductive electronic features
US Patent 7691667 Compliant integrated circuit package substrate
US Patent 7696010 Wafer dividing method
US Patent 7696022 Electric device having nanowires, manufacturing method thereof, and electric device assembly
US Patent 7696070 System and method for digital light valve processing
US Patent 7696588 Microelectronic imagers with shaped image sensors and methods for manufacturing microelectronic imagers
US Patent 7700439 Silicided nonvolatile memory and method of making same
US Patent 7709303 Process for forming an electronic device including a fin-type structure
US Patent 7709340 Semiconductor integrated circuit device and method of manufacturing the same
US Patent 7709395 Semiconductor device fabrication method
US Patent 7709838 Thin film transistor array panel
US Patent 7709909 Method for making a semiconductor device having a high-k gate dielectric
US Patent 7714316 Method of manufacturing semiconductor device, acid etching resistance material and copolymer
US Patent 7714390 Integrated circuit comprising a substrate and a resistor
US Patent 7718526 Fabrication method of semiconductor integrated circuit device
US Patent 7719067 Devices having vertically-disposed nanofabric articles and methods of making the same
US Patent 7719114 Edit structure that allows the input of a logic gate to be changed by modifying any one of the metal or via masks used to form the metal interconnect structure
US Patent 7723181 Overlay alignment mark and alignment method for the fabrication of trench-capacitor dram devices
US Patent 7723781 Vertical thin-film transistor with enhanced gate oxide
US Patent 7723809 Silicon-based RF system and method of manufacturing the same
US Patent 7723831 Semiconductor package having die with recess and discrete component embedded within the recess
US Patent 7723854 Assembly and method of assembling by soldering an object and a support
US Patent 7727779 Method of fabricating and/or repairing a light emitting device
US Patent 7727792 Laser diode epitaxial wafer and method for producing same
US Patent 7727912 Method of light enhanced atomic layer deposition
US Patent 7728322 Programmable metallization cell structures including an oxide electrolyte, devices including the structure and method of forming same
US Patent 7728328 Array substrate for liquid crystal display device and method of fabricating the same
US Patent 7728379 Semiconductor device and method of manufacturing same
US Patent 7732240 Formation of through-wafer electrical interconnections and other structures using a thin dielectric membrane
US Patent 7736966 CMOS devices with hybrid channel orientations and method for fabricating the same
US Patent 7741145 Highly efficient organic light-emitting device using substrate or electrode having nanosized half-spherical convex and method for preparing the same
US Patent 7741166 Oxidation method for altering a film structure
US Patent 7745260 Method of forming semiconductor package
US Patent 7745306 Method for producing bonded wafer
US Patent 7745917 Compliant integrated circuit package substrate
US Patent 7749906 Using unstable nitrides to form semiconductor structures
US Patent 7750477 Through-hole contacts in a semiconductor device
US Patent 7754520 Donor film and methods for fabricating patterned organic electroluminescent devices using the same
US Patent 7755111 Programmable power management using a nanotube structure
US Patent 7755199 Flexible lead surface-mount semiconductor package
US Patent 7759686 Light emitting device
US Patent 7759722 Semiconductor device and method of manufacturing the same
US Patent 7776681 Semiconductor device and method for manufacturing the same
US Patent 7777299 Integrated circuit devices including passive device shielding structures and methods of forming the same
US Patent 7781312 Silicon carbide devices and method of making
US Patent 7781879 Apparatus for integrating capacitors in stacked integrated circuits
US Patent 7790632 Methods of forming a phosphorus doped silicon dioxide-comprising layer
US Patent 7795095 Method of producing semiconductor device
US Patent 7795100 Semiconductor device and its manufacturing method
US Patent 7800141 Electronic device including a semiconductor fin
US Patent 7803697 Highly integrated semiconductor device and method of fabricating the same
US Patent 7804098 Light emitting element with a plurality of cells bonded, method of manufacturing the same, and light emitting device using the same
US Patent 7807487 Method for fabricating liquid crystal display device
US Patent 7807488 Display element having filter material diffused in a substrate of the display element
US Patent 7807502 Method for fabricating semiconductor packages with discrete components
US Patent 7807542 Semiconductor device having storage node electrode with protection film thereon and method of fabricating the same
US Patent 7808014 Semiconductor device having insulated gate bipolar transistor
US Patent 7820457 Method of NBTI prediction
US Patent 7820501 Decoder for a stationary switch machine
US Patent 7824986 Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
US Patent 7825407 Manufacturing method of semiconductor device and semiconductor device
US Patent 7825411 Thin film transistor with improved junction region
US Patent 7825425 LED structure to increase brightness
US Patent 7825503 Covered devices in a semiconductor package
US Patent 7829428 Method for eliminating a mask layer during thin film resistor manufacturing
US Patent 7829979 High permeability layered films to reduce noise in high speed interconnects
US Patent 7838344 Method for manufacturing a charge coupled device
US Patent 7842533 Electromagnetic radiation sensor and method of manufacture
US Patent 7846766 Diamond film formation method and film formation jig thereof
US Patent 7846805 Self-aligned vertical PNP transistor for high performance SiGe CBiCMOS process
US Patent 7846841 Method for forming cobalt nitride cap layers
US Patent 7847286 Semiconductor device
US Patent 7855113 Method for fabricating semiconductor memory device
US Patent 7859117 Clocking architecture in stacked and bonded dice
US Patent 7863097 Method of preparing detectors for oxide bonding to readout integrated chips
US Patent 7863169 Lithography for printing constant line width features
US Patent 7867807 Method for manufacturing photoelectric converting device
US Patent 7867867 Methods of manufacturing semiconductor devices
US Patent 7871839 Light emitting element with a plurality of cells bonded, method of manufacturing the same, and light emitting device using the same
US Patent 7871904 Wafer processing method for improving gettering capabilities of wafers made therefrom
US Patent 7875539 Semiconductor device
US Patent 7879687 Manufacturing method of semiconductor device
US Patent 7883921 Thin film transistor array panel and manufacturing method thereof
US Patent 7883942 Contact structure of semiconductor device, manufacturing method thereof, thin film transistor array panel including contact structure, and manufacturing method thereof
US Patent 7883988 Method for manufacturing semiconductor substrate
US Patent 7884434 Photoelectric conversion apparatus, producing method therefor, image pickup module and image pickup system
US Patent 7888163 Semiconductor device and method for manufacturing the same
US Patent RE42158 Semiconductor device and manufacturing method thereof
US Patent 7892937 Methods of forming capacitors
US Patent 7893433 Thin films and methods of making them
US Patent 7893471 Semiconductor apparatus with a crystalline dielectric film and method of manufacturing said semiconductor apparatus
US Patent 7902675 Capillary underfill of stacked wafers
US Patent 7906349 Method for manufacturing semiconductor device including ferroelectric capacitor
US Patent 7906380 Electric device having nanowires, manufacturing method thereof, and electric device assembly
US Patent 7910397 Small electrode for resistance variable devices
US Patent 7911035 Directionally controlled growth of nanowhiskers
US Patent 7915113 Semiconductor device and method for manufacturing the same
US Patent 7915118 Nonvolatile memory devices and methods of fabricating the same
US Patent 7915119 Semiconductor memory device and manufacturing method thereof
US Patent 7915122 Self-aligned cell integration scheme
US Patent 7915634 Laser diode epitaxial wafer and method for producing same
US Patent 7915703 Schottky diodes containing high barrier metal islands in a low barrier metal layer and methods of forming the same
US Patent 7923303 Method of resin sealing electronic part
US Patent 7923324 Method for manufacturing capacitor of semiconductor device
US Patent 7923331 Method of fabricating recess channel transistor having locally thick dielectrics and related devices
US Patent 7923376 Method of reducing defects in PECVD TEOS films
US Patent 7927917 Integrated circuit packaging system with inward and outward interconnects and method of manufacture thereof
US Patent 7927943 Method for tuning a work function of high-k metal gate devices
US Patent 7936046 Integrated circuit devices including passive device shielding structures
US Patent 7936053 Integrated circuit package system with lead structures including a dummy tie bar
US Patent 7939352 Selective area metal bonding Si-based laser
US Patent 7939403 Methods of forming a field effect transistors, pluralities of field effect transistors, and DRAM circuitry comprising a plurality of individual memory cells
US Patent 7939893 Semiconductor device and its manufacturing method
US Patent 7943469 Multi-component strain-inducing semiconductor regions
US Patent 7943921 Phase change current density control structure
US Patent 7951651 Dual flat non-leaded semiconductor package
US Patent 7951668 Process for fabricating crown capacitors of dram and capacitor structure
US Patent 7951680 Integrated circuit system employing an elevated drain
US Patent 7955880 Method of producing semiconductor optical device
US Patent 7955988 Photoresist trimming process
US Patent 7956417 Method of reducing stacking faults through annealing
US Patent 7956447 Wafer scale die handling
US Patent 7960288 Photoresist trimming process
US Patent 7960765 Method and apparatus for providing an integrated circuit having p and n doped gates
US Patent 7960840 Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
US Patent 7964427 Silicon-based RF system and method of manufacturing the same
US Patent 7964512 Method for etching high dielectric constant materials
US Patent 7964880 Light emitting element with a plurality of cells bonded, method of manufacturing the same, and light emitting device using the same
US Patent 7964946 Semiconductor package having discrete components and system containing the package
US Patent 7968406 Memory cells, methods of forming dielectric materials, and methods of forming memory cells
US Patent 7972887 Micro-electro-mechanical-system package and method for manufacturing the same
US Patent 7972922 Method of forming a semiconductor layer
US Patent 7977174 FinFET structures with stress-inducing source/drain-forming spacers and methods for fabricating the same
US Patent 7977186 Providing local boosting control implant for non-volatile memory
US Patent 7977204 Method of forming a fine pattern of a semiconductor device using a double patterning technique
US Patent 7977234 Fabrication method of semiconductor integrated circuit device
US Patent 7981752 Method of forming junction of semiconductor device
US Patent 7982204 Using unstable nitrides to form semiconductor structures
US Patent 7985658 Method of forming substrate for use in imager devices
US Patent 7985669 Selective deposition of noble metal thin films
US Patent 7989226 Clocking architecture in stacked and bonded dice
US Patent 7989230 Method for PMOS device processing using a polysilicon footing characteristic to achieve low leakage
US Patent 7989811 Manufacturing method of semiconductor device
US Patent 7994014 Semiconductor devices having faceted silicide contacts, and related fabrication methods
US Patent 7994506 Semiconductor device and method of manufacturing the same
US Patent 7998869 Contact patterning method with transition etch feedback
US Patent 8003419 Method of manufacturing light emitting device
US Patent 8003455 Implantation using a hardmask
US Patent 8008096 ALD processing techniques for forming non-volatile resistive-switching memories
US Patent 8008703 Nonvolatile semiconductor memory device with twin-well
Edits on 8 Dec, 2021
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8008703 Nonvolatile semiconductor memory device with twin-well
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8008096 ALD processing techniques for forming non-volatile resistive-switching memories
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8003455 Implantation using a hardmask
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8003419 Method of manufacturing light emitting device
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7998869 Contact patterning method with transition etch feedback
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7994506 Semiconductor device and method of manufacturing the same
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7994014 Semiconductor devices having faceted silicide contacts, and related fabrication methods
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7989811 Manufacturing method of semiconductor device
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7989230 Method for PMOS device processing using a polysilicon footing characteristic to achieve low leakage
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7989226 Clocking architecture in stacked and bonded dice
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7985669 Selective deposition of noble metal thin films
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7985658 Method of forming substrate for use in imager devices
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7982204 Using unstable nitrides to form semiconductor structures
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7981752 Method of forming junction of semiconductor device
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7977234 Fabrication method of semiconductor integrated circuit device
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7977204 Method of forming a fine pattern of a semiconductor device using a double patterning technique
Load more
Find more people like Brook Kebede
Use the Golden Query Tool to discover related individuals, professionals, or experts with similar interests, expertise, or connections in the Knowledge Graph.
Open Query Tool
Access by API
Company
Home
Press & Media
Blog
Careers
WE'RE HIRING
Products
Knowledge Graph
Query Tool
Data Requests
Knowledge Storage
API
Pricing
Enterprise
ChatGPT Plugin
Legal
Terms of Service
Enterprise Terms of Service
Privacy Policy
Help
Help center
API Documentation
Contact Us
SUBSCRIBE