Create
Log in
Sign up
Golden has been acquired by ComplyAdvantage.
Read about it here ⟶
Christopher G Young
based in Florida
Overview
Structured Data
Issues
Contributors
Activity
All edits
Edits on 29 Apr, 2022
"Infobox creation from: https://twitter.com/drchrisyoung"
Golden AI
edited on 29 Apr, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Location
Winter Haven, Florida
Paul Fuller
edited on 29 Apr, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Twitter
https://twitter.com/drchrisyoung
Edits on 14 Dec, 2021
"Remove inverse infobox"
Golden AI
edited on 14 Dec, 2021
Edits made to:
Infobox
(
-346
properties)
Infobox
Patent primary examiner of
US Patent 11169437 Optical proximity correction (OPC) methods and methods of manufacturing masks using the OPC methods
US Patent 7087352 Automated overlay metrology system
US Patent 7090948 Reflection mask and method for fabricating the reflection mask
US Patent 7094503 Nanopastes for use as patterning compositions
US Patent 7097946 Photomask, method of manufacturing a photomask, and method of manufacturing an electronic product
US Patent 7105278 Pattern mask with features to minimize the effect of aberrations
US Patent 7108946 Method of lithographic image alignment for use with a dual mask exposure technique
US Patent 7115343 Pliant SRAF for improved performance and manufacturability
US Patent 7118833 Forming partial-depth features in polymer film
US Patent 7122282 Mask pattern forming method and patterning method using the mask pattern
US Patent 7125651 Method of manufacturing semiconductor integrated circuit device optical mask therefor, its manufacturing method, and mask blanks
US Patent 7128842 Polyimide as a mask in vapor hydrogen fluoride etching
US Patent 7129024 Electron beam lithography method
US Patent 7132203 Phase shift masking for complex patterns with proximity adjustments
US Patent 7132206 Process and apparatus for minimizing thermal gradients across an advanced lithographic mask
US Patent 7132221 Method to print photoresist lines with negative sidewalls
US Patent 7135256 Method of increasing the shelf life of a photomask substrate
US Patent 7141356 Electron beam lithography method
US Patent 7144680 Electron beam lithography method using new material
US Patent 7144684 Method for forming pattern using photomask
US Patent 7147973 Method to recover the exposure sensitivity of chemically amplified resins from post coat delay effect
US Patent 7147976 Binary OPC for assist feature layout optimization
US Patent 7147977 Method for fabricating semiconductor device and method for fabricating semiconductor substrate used in the semiconductor device
US Patent 7150948 Photomask and method for exposing chip pattern
US Patent 7150949 Further method to pattern a substrate
US Patent 7153634 Dual layer workpiece masking and manufacturing process
US Patent 7157194 Method for exposing a substrate with a structure pattern which compensates for the optical proximity effect
US Patent 7160650 Method of inspecting a mask
US Patent 7160654 Method of the adjustable matching map system in lithography
US Patent 7160655 Exposure method using complementary divided mask, exposure apparatus, semiconductor device, and method of producing the same
US Patent 7160656 Method for determining pattern misalignment over a substrate
US Patent 7160657 Reference wafer and process for manufacturing same
US Patent 7169515 Phase conflict resolution for photolithographic masks
US Patent 7175945 Focus masking structures, focus patterns and measurements thereof
US Patent 7175951 Two mask in-situ overlay checking method
US Patent 7175952 Method of generating mask distortion data, exposure method and method of producing semiconductor device
US Patent 7175970 Mechanically robust interconnect for low-k dielectric material using post treatment
US Patent 7179568 Defect inspection of extreme ultraviolet lithography masks and the like
US Patent 7179569 Method for manufacturing a semiconductor device, stencil mask and method for manufacturing the same
US Patent 7179571 Apparatus for characterization of photoresist resolution, and method of use
US Patent 7183025 Phase difference specifying method
US Patent 7186481 Flare measuring mask and flare measuring method of semiconductor aligner
US Patent 7186483 Method of determining alignment of a template and a substrate having a liquid disposed therebetween
US Patent 7186484 Method for determining the relative positional accuracy of two structure elements on a wafer
US Patent 7186485 Inspection method and a photomask
US Patent 7186486 Method to pattern a substrate
US Patent 7186487 Method of controlling exposure processes by monitoring photon levels, and system for accomplishing same
US Patent 7186488 Semiconductor device manufacturing method and semiconductor device manufacturing system
US Patent 7189481 Characterizing flare of a projection lens
US Patent 7189499 Method of forming fine patterns
US Patent 7195860 Semiconductor manufacturing apparatus and pattern formation method
US Patent 7198873 Lithographic processing optimization based on hypersampled correlations
US Patent 7205074 Venting of pellicle cavity for a mask
US Patent 7205078 Method for generating backscattering intensity on the basis of lower layer structure in charged particle beam exposure, and method for fabricating semiconductor device utilizing this method
US Patent 7211356 Method of patterning a substrate by feeding mask defect data forward for subsequent correction
US Patent 7214452 Using perfluoropoly-ethers to form pellicles
US Patent 7217502 Nanopastes for use as patterning compositions
US Patent 7220521 Fabrication method of semiconductor device
US Patent 7226723 Methods for improving angled line feature accuracy and throughput using electron beam lithography and electron beam lithography system
US Patent 7229725 Method to recover the exposure sensitivity of chemically amplified resins from post coat delay effect
US Patent 7229742 Methods for improving angled line feature accuracy and throughput using electron beam lithography and electron beam lithography system
US Patent 7229743 Electron beam lithography method, patterned master carrier for magnetic transfer, lithography method for patterned master carrier for magnetic transfer, and method for producing performatted magnetic recording media
US Patent 7232631 Mask for charged particle beam exposure, and method of forming the same
US Patent 7235336 Method for determining photoresist thickness and structure formed using determined photoresist thickness
US Patent 7235345 Agent for forming coating for narrowing patterns and method for forming fine pattern using the same
US Patent 7241541 Method of the adjustable matching map system in lithography
US Patent 7241542 Process for controlling the proximity effect correction
US Patent 7244533 Method of the adjustable matching map system in lithography
US Patent 7244534 Device manufacturing method
US Patent 7247412 Method of correcting deviations of critical dimensions of patterns formed on a wafer in a EUVL process
US Patent 7250235 Focus monitor method and mask
US Patent 7250237 Optimized correction of wafer thermal deformations in a lithographic process
US Patent 7250248 Method for forming pattern using a photomask
US Patent 7252913 Method for projection of a circuit pattern, which is arranged on a mask, onto a semiconductor wafer
US Patent 7255968 Alignment method of exposure mask and manufacturing method of thin film element substrate
US Patent 7258954 Method to recover the exposure sensitivity of chemically amplified resins from post coat delay effect
US Patent 7258957 Method for aligning exposure mask and method for manufacturing thin film device substrate
US Patent 7261983 Reference wafer and process for manufacturing same
US Patent 7261984 Exposure pattern or mask and inspection method and manufacture method for the same
US Patent 7261985 Process for determination of optimized exposure conditions for transverse distortion mapping
US Patent 7264909 Exposure parameter obtaining method, exposure parameter evaluating method, semiconductor device manufacturing method, charged beam exposure apparatus, and method of the same
US Patent 7267911 Stencil mask and its manufacturing method
US Patent 7267927 Method for fabricating semiconductor device and equipment for fabricating the same
US Patent 7270920 Fabrication method of a semiconductor device
US Patent 7270921 Pattern writing and forming method
US Patent 7270922 Method for determining an edge profile of a volume of a photoresist after a development process
US Patent 7273685 Method for controlling semiconductor device production process and a method for producing semiconductor devices
US Patent 7279258 Method and arrangement for controlling focus parameters of an exposure tool
US Patent 7279259 Method for correcting pattern data and method for manufacturing semiconductor device using same
US Patent 7282309 Photomask, method for producing the same, and method for forming pattern using the photomask
US Patent 7282311 Method of forming an integrated optical circuit
US Patent 7285365 Image enhancement for multiple exposure beams
US Patent RE39913 Method to control gate CD
US Patent 7297450 Optical proximity correction method
US Patent 7297453 Systems and methods for mitigating variances on a patterned wafer using a prediction model
US Patent 7300728 Processor unit with provision for automated control of processing parameters
US Patent 7300729 Method for monitoring a reticle
US Patent 7300730 Creating an optically tunable anti-reflective coating
US Patent 7300746 Photomask for forming small contact hole array and methods of fabricating and using the same
US Patent 7303842 Systems and methods for modifying a reticle's optical properties
US Patent 7303843 Photomask and method for exposing chip pattern
US Patent 7303845 Method and system for efficiently verifying optical proximity correction
US Patent 7306896 Electron beam duplication lithography method
US Patent 7318993 Resistless lithography method for fabricating fine structures
US Patent 7323278 Method of adding fabrication monitors to integrated circuit chips
US Patent 7323291 Dual layer workpiece masking and manufacturing process
US Patent 7326501 Method for correcting focus-dependent line shifts in printing with sidewall chrome alternating aperture masks (SCAAM)
US Patent 7326505 Lithographic apparatus and device manufacturing method
US Patent 7332251 Pattern decomposition and optical proximity correction method for double exposure when forming photomasks
US Patent 7332252 Method of forming a mask layout and layout formed by the same
US Patent 7332255 Overlay box structure for measuring process induced line shortening effect
US Patent 7341809 Photomask, method for manufacturing the same, and method for measuring optical characteristics of wafer exposure system using the photomask during operation
US Patent 7348109 Reticle, semiconductor die and method of manufacturing semiconductor device
US Patent 7348111 Reduction of imaging artifacts in a platesetter having a diffractive modulator
US Patent 7348129 Electron beam processing method and apparatus
US Patent 7348130 Electron exposure to reduce line edge roughness
US Patent 7354681 Scattering bar OPC application method for sub-half wavelength lithography patterning
US Patent 7354684 Test pattern and method of evaluating the transfer properties of a test pattern
US Patent 7354695 Producing a substrate having high surface-area texturing
US Patent 11181831 Methods of manufacturing semiconductor device
US Patent 7368206 Automated overlay metrology system
US Patent 7368207 Dynamic compensation system for maskless lithography
US Patent 7368208 Measuring phase errors on phase shift masks
US Patent 7368209 Method for evaluating sensitivity of photoresist, method for preparation of photoresist and manufacturing method of semiconductor device
US Patent 7368227 Method to print photoresist lines with negative sidewalls
US Patent 7369213 Exposure method using complementary divided mask, exposure apparatus, semiconductor device, and method of producing the same
US Patent 7371483 Method for manufacturing mask for focus monitoring, and method for manufacturing semiconductor device
US Patent 7371485 Multi-step process for etching photomasks
US Patent 7371489 Photomask, method for detecting pattern defect of the same, and method for making pattern using the same
US Patent 7374864 Combined nanoimprinting and photolithography for micro and nano devices fabrication
US Patent 7378201 Method for repairing a photomask, method for inspecting a photomask, method for manufacturing a photomask, and method for manufacturing a semiconductor device
US Patent 7378202 Grid-based resist simulation
US Patent 7381503 Reference wafer calibration reticle
US Patent 7382912 Method and apparatus for performing target-image-based optical proximity correction
US Patent 7384711 Stencil mask having main and auxiliary strut and method of forming the same
US Patent 7384724 Method for fabricating optical devices in photonic crystal structures
US Patent 7387859 Method for measuring overlay shift
US Patent 7388216 Pattern writing and forming method
US Patent 7393619 Method and lithographic structure for measuring lengths of lines and spaces
US Patent 7396620 Exposure method and exposure management system
US Patent 7399559 Optical proximity correction method utilizing phase-edges as sub-resolution assist features
US Patent 7407730 Exposure pattern or mask and inspection method and manufacture method for the same
US Patent 7407736 Methods of improving single layer resist patterning scheme
US Patent 7410735 Method of characterization, method of characterizing a process operation, and device manufacturing method
US Patent 7410736 Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system not utilizing overlap of the exposure zones
US Patent 7410737 System and method for process variation monitor
US Patent 7413834 Photomask with alignment marks for the current layer
US Patent 7416819 Test mask for optical and electron optical systems
US Patent 7419764 Method of fabricating nanoimprint mold
US Patent 7425396 Method for reducing an overlay error and measurement mark for carrying out the same
US Patent 7425397 Method of determining an illumination profile and device manufacturing method
US Patent 7427457 Methods for designing grating structures for use in situ scatterometry to detect photoresist defects
US Patent 7427459 Recticle pattern applied to mix-and-match lithography process and alignment method of thereof
US Patent 7435517 Method for reducing the fogging effect
US Patent 7439001 Focus blur measurement and control method
US Patent 7442474 Reticle for determining rotational error
US Patent 7442477 Exposing apparatus and exposing method, for maskless exposure of substrate to be exposed, and plotter and plotting method for directly plotting on object to be plotted
US Patent 7449265 Scatterometry target for determining CD and overlay
US Patent 7455939 Method of improving grating test pattern for lithography monitoring and controlling
US Patent 7459246 Method for manufacturing a semiconductor device, stencil mask and method for manufacturing a the same
US Patent 7459247 Lithographic apparatus and device manufacturing method
US Patent 7459248 Performing OPC on structures with virtual edges
US Patent 7462428 Complementary masks and method of fabrication of same, exposure method, and semiconductor device and method of production of same
US Patent 7462429 Method and arrangement for correcting thermally-induced field deformations of a lithographically exposed substrate
US Patent 7462430 Method and arrangement for predicting thermally-induced deformation of a substrate, and a semiconductor device
US Patent 7465523 Method for manufacturing transfer mask substrate, transfer mask substrate, and transfer mask
US Patent 7465525 Reticle alignment and overlay for multiple reticle process
US Patent 7468237 Integrated optical circuits having drop-in locations for optical circuit elements
US Patent 7470492 Process window-based correction for photolithography masks
US Patent 7470501 Pattern formation method through liquid immersion lithography
US Patent 7473494 Exposure mask and mask pattern production method
US Patent 7473495 Method of creating predictive model, method of managing process steps, method of manufacturing semiconductor device, method of manufacturing photo mask, and computer program product
US Patent 7473502 Imaging tool calibration artifact and method
US Patent 7476473 Process control method, a method for forming monitor marks, a mask for process control, and a semiconductor device manufacturing method
US Patent 7476490 Method for producing a marker on a substrate, lithographic apparatus and device manufacturing method
US Patent 7479356 Aligning method
US Patent 7485396 Scattering bar OPC application method for sub-half wavelength lithography patterning
US Patent 7491478 Lithographic apparatus and device manufacturing method
US Patent 7491479 Compensating for effects of topography variation by using a variable intensity-threshold
US Patent 7494751 Method and apparatus for improving depth of focus during optical lithography
US Patent 7494752 Method and systems for utilizing simplified resist process models to perform optical and process corrections
US Patent 7494753 Method, program product and apparatus for improving calibration of resist models used in critical dimension calculation
US Patent 7498105 Method for checking phase shift angle of phase shift mask, lithography process and phase shift mask
US Patent 7501214 Semiconductor device fabrication method and fabrication apparatus using a stencil mask
US Patent 7501215 Device manufacturing method and a calibration substrate
US Patent 7504186 Photomask, method for producing the same, and method for forming pattern using the photomask
US Patent 7507508 Method for manufacturing a semiconductor device
US Patent 11187972 Reflective mask blank, method of manufacturing reflective mask and method of manufacturing semiconductor device
US Patent 11187973 Reflective EUV mask absorber manipulation to improve wafer contrast
US Patent 11187974 Photomask blank, photomask, and photomask manufacturing method
US Patent 7517621 Exposure method and method for manufacturing semiconductor device
US Patent 7524595 Process for forming anti-reflection coating and method for improving accuracy of overlay measurement and alignment
US Patent 7537866 Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US Patent 7537869 Evaluation of pattern formation process, photo masks for the evaluation, and fabrication method of a semiconductor device with the evaluation process
US Patent 7537870 Lithography process optimization and system
US Patent 7537871 Method of manufacturing semiconductor device
US Patent 7538344 Overlay and CD process window structure
US Patent 7541120 Manufacturing method of semiconductor device
US Patent 7544447 Method of forming a mask pattern for a semiconductor device
US Patent 7544449 Method and apparatus for measurement of crossfield chromatic response of projection imaging systems
US Patent 7547495 Device manufacturing method and computer program product
US Patent 7550237 Systems and methods for determining width/space limits for mask layout
US Patent 7553606 Methods of forming patterns in semiconductor devices using photo resist patterns
US Patent 7553610 Method of forming fine patterns
US Patent 7556896 Inspection method and photomask
US Patent 7556898 Overlay target for polarized light lithography
US Patent 7556899 System for controlling an overlay, method for controlling overlay, and method for manufacturing a semiconductor device
US Patent 7560201 Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US Patent 7582395 Overlay mark
US Patent 7585600 Method and apparatus for performing target-image-based optical proximity correction
US Patent 7585601 Method to optimize grating test pattern for lithography monitoring and control
US Patent 7588868 Method and system for reducing the impact of across-wafer variations on critical dimension measurements
US Patent 7588870 Dual layer workpiece masking and manufacturing process
US Patent 7592103 Electron beam writing method and lithography mask manufacturing method
US Patent 7598006 Method and apparatus for embedded encoding of overlay data ordering in an in-situ interferometer
US Patent 7598007 Pattern transfer mask, focus variation measuring method and apparatus, and semiconductor device manufacturing method
US Patent 7598024 Method and system for enhanced lithographic alignment
US Patent 7604910 Exposure mask, method of forming resist pattern and method of forming thin film pattern
US Patent 7608368 Pattern forming method, photomask manufacturing method, semiconductor device manufacturing method, and computer program product
US Patent 7608387 Method for fabricating mold core
US Patent 7618755 Method and system for automatically detecting exposed substrates having a high probability for defocused exposure fields
US Patent 7625679 Method of aligning a particle-beam-generated pattern to a pattern on a pre-patterned substrate
US Patent 7625680 Method of real time dynamic CD control
US Patent 7629092 Exposure system, exposure method and semiconductor device manufacturing method
US Patent 7629093 Method for correcting critical dimension of mask pattern
US Patent 7632616 Controlling system and method for operating the same
US Patent 7633712 Method to print photoresist lines with negative sidewalls
US Patent 7635547 Stencil mask having main and auxiliary strut and method of forming the same
US Patent 7642021 Method of mapping lithography focus errors
US Patent 7642022 Parameter determination method, exposure method, device fabrication method, and storage medium
US Patent 7645546 Method for determining an overlay correlation set
US Patent 7648807 Mask blank substrate manufacturing method, mask blank manufacturing method, mask manufacturing method, and mask blank substrate
US Patent 7648809 Electron beam exposure method, hot spot detecting apparatus, semiconductor device manufacturing method, and computer program product
US Patent 7651824 Method for compensating critical dimension variations in photomasks
US Patent 7651825 Method and system for overlay control using dual metrology sampling
US Patent 7651826 Semiconductor device, fabricating method thereof, and photomask
US Patent 7652284 Process monitor mark and the method for using the same
US Patent 7655364 Methods of manufacturing mask blank and transfer mask
US Patent 7655367 Lithographic apparatus and device manufacturing method
US Patent 7655368 Method for exposing a substrate and lithographic projection apparatus
US Patent 7655381 Method for producing resist substrates
US Patent 7662522 Method for manufacturing semiconductor devices, and method for forming a pattern onto an exposure mask
US Patent 7666559 Structure and method for determining an overlay accuracy
US Patent 7670730 Lithographic apparatus and device manufacturing method
US Patent 7670731 Method for exposing a substrate and lithographic projection apparatus
US Patent 7687209 Lithographic apparatus and device manufacturing method with double exposure overlay control
US Patent 7687210 Space tolerance with stitching
US Patent 7687211 System and method for photolithography in semiconductor manufacturing
US Patent 7691548 Method of manufacturing mask
US Patent 7691549 Multiple exposure lithography technique and method
US Patent 7691550 Method for making a relief printing form
US Patent 7695876 Method for identifying and using process window signature patterns for lithography process control
US Patent 7700247 Differential critical dimension and overlay metrology apparatus and measurement method
US Patent 7704652 Exposure operation evaluation method for exposure apparatus and manufacturing method for semiconductor device
US Patent 7704653 Method of data encoding, compression, and transmission enabling maskless lithography
US Patent 7704677 Method of patterning conductive polymer layer, organic light emitting device, and method of manufacturing the organic light emitting device
US Patent 7709165 Image enhancement for multiple exposure beams
US Patent 7710538 Method and arrangement for correcting thermally-induced field deformations of a lithographically exposed substrate
US Patent 7710539 Method and arrangement for predicting thermally-induced deformation of a substrate, and a semiconductor device
US Patent 7713667 System and method for generating pattern data used to control a pattern generator
US Patent 7718327 Overlay management method and apparatus, processing apparatus, measurement apparatus and exposure apparatus, device manufacturing system and device manufacturing method, and program and information recording medium
US Patent 7727687 Method and apparatus for determining whether a sub-resolution assist feature will print
US Patent 7732109 Method and system for improving critical dimension uniformity
US Patent 7732110 Method for exposing a substrate and lithographic projection apparatus
US Patent 7736838 Methods for forming pattern using electron beam and cell masks used in electron beam lithography
US Patent 7745073 Method for manufacturing a semiconductor device, stencil mask and method for manufacturing a the same
US Patent 7745078 Method and system for manufacturing a reticle using character projection lithography
US Patent 7749687 Pattern forming method used in semiconductor device manufacturing and method of manufacturing semiconductor device
US Patent 7754400 Method for determining an acceptable reticle tolerance for a reticle used to produce an integrated circuit layout
US Patent 7754401 Method for manufacturing a surface and integrated circuit using variable shaped beam lithography
US Patent 7759026 Method and system for manufacturing a reticle using character projection particle beam lithography
US Patent 7759027 Method and system for design of a reticle to be manufactured using character projection lithography
US Patent 7759029 Substrate provided with an alignment mark in a substantially transmissive process layer, mask for exposing said mark, device manufacturing method, and device manufactured thereby
US Patent 7763403 Method and system for overlay control using dual metrology sampling
US Patent 7763404 Methods and apparatus for changing the optical properties of resists
US Patent 7771905 Method and program for calculating exposure dose and focus position in exposure apparatus, and device manufacturing method
US Patent 7771906 Exposure method
US Patent 7785755 Exposure system, exposure method, and method for manufacturing semiconductor device
US Patent 7794900 Mask blank substrate manufacturing method, mask blank manufacturing method, mask manufacturing method, and mask blank substrate
US Patent 7794901 Method of manufacturing mask blank and transfer mask
US Patent 7794903 Metrology systems and methods for lithography processes
US Patent 7799489 Method for design and manufacture of a reticle using variable shaped beam lithography
US Patent 7803506 Methods of measuring critical dimensions and related devices
US Patent 7807320 Overlay target for polarized light lithography
US Patent 7807323 Exposure condition setting method, semiconductor device manufacturing method, and exposure condition setting program
US Patent 7811727 Method for determining an exposure dose and exposure apparatus
US Patent 7816060 Manufacturing method of semiconductor device, reticle correcting method, and reticle pattern data correcting method
US Patent 7816062 Method and apparatus for semiconductor device production process monitoring and method and apparatus for estimating cross sectional shape of a pattern
US Patent 7820346 Method for collecting optical proximity correction parameter
US Patent 7820362 Method of pattern delineation
US Patent 7824829 Method of monitoring focus in lithographic processes
US Patent 7829249 Device manufacturing method, computer program and lithographic apparatus
US Patent 7838185 Focus measurement method and method of manufacturing a semiconductor device
US Patent 7842437 High-resolution, patterned-media master mask
US Patent 7842442 Method and system for reducing overlay errors within exposure fields by APC control strategies
US Patent 7846624 Systems and methods for determination of focus and telecentricity, amelioration of metrology induced effects and application to determination of precision bossung curves
US Patent 7858276 Method for determining suitability of a resist in semiconductor wafer fabrication
US Patent 7862962 Integrated circuit layout design
US Patent 7862965 Method for detecting defects which originate from chemical solution and method of manufacturing semiconductor device
US Patent 7862966 Substrate-processing apparatus, substrate-processing method, substrate-processing program, and computer-readable recording medium recorded with such program
US Patent 7867673 Substrate-processing apparatus, substrate-processing method, substrate-processing program, and computer-readable recording medium recorded with such program
US Patent 7867674 Substrate-processing apparatus, substrate-processing method, substrate-processing program, and computer-readable recording medium recorded with such program
US Patent 7871744 Near-field exposure apparatus and near-field exposure method
US Patent 7871745 Exposure method
US Patent 7875409 Method of manufacturing semiconductor device, mask and semiconductor device
US Patent 7879515 Method to control semiconductor device overlay using post etch image metrology
US Patent 7883824 Method for evaluating lithography apparatus and method for controlling lithography apparatus
US Patent 7883831 Method for translating a structured beam of energetic particles across a substrate in template mask lithography
US Patent 7887978 Method of detecting repeating defects in lithography masks on the basis of test substrates exposed under varying conditions
US Patent 7892707 Scattering bar OPC application method for sub-half wavelength lithography patterning
US Patent 7892712 Exposure method
US Patent 7897308 Method for transferring a predetermined pattern reducing proximity effects
US Patent 7901845 Method for optical proximity correction of a reticle to be manufactured using character projection lithography
US Patent 7901850 Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US Patent 7901852 Metrology of bilayer photoresist processes
US Patent 7901853 Pattern prediction method, pattern correction method, semiconductor device, and recording medium
US Patent 7901854 Wafer edge exposure unit
US Patent 7906271 System and method for making photomasks
US Patent 7914954 Stencil, stencil design system and method for cell projection particle beam lithography
US Patent 7914958 Semiconductor device manufacturing method
US Patent 7914970 Mixed lithography with dual resist and a single pattern transfer
US Patent 7916276 Lithographic apparatus and device manufacturing method with double exposure overlay control
US Patent 7919218 Method for a multiple exposure beams lithography tool
US Patent 7923182 Multi-focus method of enhanced three-dimensional exposure of resist
US Patent 7927768 Alignment mark of mask
US Patent 7927773 Memory medium storing original data generation program, memory medium storing illumination condition determination program, original data generation method, illumination condition determination method, and device manufacturing method
US Patent 7932004 Feature identification for metrological analysis
US Patent 7935464 System and method for self-aligned dual patterning
US Patent 7947413 Pattern evaluation method
US Patent 7955765 Adjustment method, exposure method, device manufacturing method, and exposure apparatus
US Patent 7955766 Software-controlled maskless optical lithography using fluorescence feedback
US Patent 7955767 Method for examining a wafer with regard to a contamination limit and EUV projection exposure system
US Patent 7968259 Semiconductor device, method for manufacturing semiconductor device, and computer readable medium
US Patent 7968260 Substrate processing method, computer-readable storage medium, and substrate processing system
US Patent 7972755 Substrate processing method and substrate processing system
US Patent 7972764 Electron beam writing method, fine pattern writing system, method for manufacturing uneven pattern carrying substrate, and method for manufacturing magnetic disk medium
US Patent 7977017 Method to recover the exposure sensitivity of chemically amplified resins from post coat delay effect
US Patent 7977018 Exposure data preparation method and exposure method
US Patent 7977019 Semiconductor device manufacturing method, semiconductor device manufacturing equipment, and computer readable medium
US Patent 7981575 Method for optical proximity correction of a reticle to be manufactured using variable shaped beam lithography
US Patent 7985514 Method for fracturing a pattern for writing with a shaped charged particle beam writing system using dragged shots
US Patent 7985516 Substrate processing method, computer-readable storage medium and substrate processing system
US Patent 7985517 Lithography simulation method, computer program product, and pattern forming method
US Patent 7993813 Apparatus and method for conformal mask manufacturing
US Patent 7998643 Method of correcting an error in phase difference in a phase shift mask
US Patent 8007968 Substrate processing method, program, computer-readable storage medium and substrate processing system
Edits on 8 Dec, 2021
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8007968 Substrate processing method, program, computer-readable storage medium and substrate processing system
Edits on 8 Dec, 2021
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7998643 Method of correcting an error in phase difference in a phase shift mask
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7993813 Apparatus and method for conformal mask manufacturing
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7985516 Substrate processing method, computer-readable storage medium and substrate processing system
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7985517 Lithography simulation method, computer program product, and pattern forming method
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7985514 Method for fracturing a pattern for writing with a shaped charged particle beam writing system using dragged shots
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7981575 Method for optical proximity correction of a reticle to be manufactured using variable shaped beam lithography
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7977017 Method to recover the exposure sensitivity of chemically amplified resins from post coat delay effect
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7977019 Semiconductor device manufacturing method, semiconductor device manufacturing equipment, and computer readable medium
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7977018 Exposure data preparation method and exposure method
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7972764 Electron beam writing method, fine pattern writing system, method for manufacturing uneven pattern carrying substrate, and method for manufacturing magnetic disk medium
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7972755 Substrate processing method and substrate processing system
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7968260 Substrate processing method, computer-readable storage medium, and substrate processing system
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7968259 Semiconductor device, method for manufacturing semiconductor device, and computer readable medium
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7955767 Method for examining a wafer with regard to a contamination limit and EUV projection exposure system
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7955766 Software-controlled maskless optical lithography using fluorescence feedback
Golden AI
edited on 7 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7955765 Adjustment method, exposure method, device manufacturing method, and exposure apparatus
Load more
Find more people like Christopher G Young
Use the Golden Query Tool to discover related individuals, professionals, or experts with similar interests, expertise, or connections in the Knowledge Graph.
Open Query Tool
Access by API
Company
Home
Press & Media
Blog
Careers
WE'RE HIRING
Products
Knowledge Graph
Query Tool
Data Requests
Knowledge Storage
API
Pricing
Enterprise
ChatGPT Plugin
Legal
Terms of Service
Enterprise Terms of Service
Privacy Policy
Help
Help center
API Documentation
Contact Us
SUBSCRIBE