Create
Log in
Sign up
Golden has been acquired by ComplyAdvantage.
Read about it here ⟶
Charles D. Garber
Overview
Structured Data
Issues
Contributors
Activity
All edits
Edits on 12 Aug, 2022
"Edit from table cell"
Екатерина Петровская
edited on 12 Aug, 2022
Edits made to:
Infobox
(
+1
properties)
Infobox
Company Operating Status
Active
Edits on 14 Dec, 2021
"Remove inverse infobox"
Golden AI
edited on 14 Dec, 2021
Edits made to:
Infobox
(
-741
properties)
Infobox
Patent primary examiner of
US Patent 7230540 Liquid level alarm
US Patent 7283058 Tree smoke detection system and method of using same
US Patent 7310046 Method for eliminating reading errors in a non-contact microwave solids flow meter
US Patent 7315509 Method for recovering from a received data error in a mobile communication system providing a multimedia broadcast/multicast service
US Patent 7353462 Graphical user interface for accessing data
US Patent 7362149 Zero crossing detection and correction upon a distorted primary AC power line voltage
US Patent 11183414 Secondary packaging method and secondary package of through silicon via chip
US Patent 11183656 Organic electroluminescent device, display substrate and display apparatus
US Patent 7369381 Wiring harness holding device
US Patent 7375581 Voltage generating circuit
US Patent 7378887 Semiconductor integrated circuit with power-on state stabilization
US Patent 7385436 Fully depleted silicon on insulator semiconductor devices
US Patent 7388415 Delay locked loop with a function for implementing locking operation periodically during power down mode and locking operation method of the same
US Patent 7389085 Image forming apparatus and sheet conveying apparatus
US Patent 7397815 Method and apparatus for performing link defragmentation subject to an interface rate constraint
US Patent 7400174 Current mode interface receiver with process insensitive common mode current extraction and the method
US Patent 7400650 System and method for eliminating Streams framework overhead in data communications
US Patent 7406037 Packet forwarding apparatus with redundant routing module
US Patent 7418541 Method for indirect access to a support interface for memory-mapped resources to reduce system connectivity from out-of-band support processor
US Patent 7422932 Nonvolatile semiconductor memory device
US Patent 7422944 Semiconductor device
US Patent 7422972 On chip heating for electrical trimming of polysilicon and polysilicon-silicon-germanium resistors and electrically programmable fuses for integrated circuits
US Patent 7429499 Method of fabricating wafer level package
US Patent 7429525 Fabrication process of a semiconductor device
US Patent 7432180 Method of fabricating a nickel silicide layer by conducting a thermal annealing process in a silane gas
US Patent 7432551 SOI semiconductor device including a guard ring region
US Patent 7435667 Method of controlling polysilicon crystallization
US Patent 7439125 Contact structure for a stack DRAM storage capacitor
US Patent 7439170 Design structure for final via designs for chip stress reduction
US Patent 7439180 Dispenser system for atomic beam assisted metal organic chemical vapor deposition (MOCVD)
US Patent 7439191 Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US Patent 7439193 Patterning method for fabricating high resolution structures
US Patent 7442560 Method for manufacturing anisotropic conductive sheet
US Patent 7442602 Methods of fabricating phase change memory cells having a cell diode and a bottom electrode self-aligned with each other
US Patent 7445954 Method of fabricating substrateless thin film field-effect devices and an organic thin film transistor obtainable by the method
US Patent 7446037 Cladded silver and silver alloy metallization for improved adhesion and electromigration resistance
US Patent 7446039 Integrated circuit system with dummy region
US Patent 7452804 Single damascene with disposable stencil and method therefore
US Patent 7452810 Method of forming a barrier layer of a semiconductor device
US Patent 7465641 Method for manufacturing a semiconductor device
US Patent 7468298 Method of manufacturing flash memory device
US Patent 7468538 Strained silicon on a SiGe on SOI substrate
US Patent 7476556 Systems and methods for plasma processing of microfeature workpieces
US Patent 7476589 Methods for forming shielded gate field effect transistors
US Patent 7476604 Aggressive cleaning process for semiconductor device contact formation
US Patent 7479416 Thin film transistor array panel and manufacturing method thereof
US Patent 7482186 Method for fabricating active matrix organic light emitting diode display device and structure of such device
US Patent 7485586 Laser irradiating apparatus and method of manufacturing semiconductor apparatus
US Patent 7485927 Thin film transistor substrate
US Patent 7488610 Insulator film characteristic measuring method and insulator film characteristic measuring apparatus
US Patent 7488636 Broken die detect sensor
US Patent 7488654 Fabrication of local damascene finFETs using contact type nitride damascene mask
US Patent 7488680 Conductive through via process for electronic device carriers
US Patent 7488981 Memory devices having sharp-tipped phase change layer patterns
US Patent 7489242 RFID multiple range method and system
US Patent 7491568 Wafer level package and method for making the same
US Patent 7491591 Thin film transistor having LDD structure
US Patent 7494892 Method of measuring warpage of rear surface of substrate
US Patent 7494893 Identifying yield-relevant process parameters in integrated circuit device fabrication processes
US Patent 7497911 Flip chip die assembly using thin flexible substrates
US Patent 7498192 Methods of providing a family of related integrated circuits of different sizes
US Patent 7498248 Methods of compensating for an alignment error during fabrication of structures on semiconductor substrates
US Patent 7501345 Selective silicide formation by electrodeposit displacement reaction
US Patent 7507646 Semiconductor devices and method of manufacturing them
US Patent 7507667 Selective heating using flash anneal
US Patent 7508081 Dicing die-bonding film
US Patent 7510969 Electrode line structure having fine line width and method of forming the same
US Patent 7512454 Display unit with processor and communication controller
US Patent 7517718 Method for fabricating an inorganic nanocomposite
US Patent 7521285 Method for fabricating chip-stacked semiconductor package
US Patent 7521301 Methods for fabricating integrated circuit field effect transistors including channel-containing fin having regions of high and low doping concentrations
US Patent 7521326 Semiconductor device and manufacturing method thereof
US Patent 7521332 Resistance-based etch depth determination for SGT technology
US Patent 7524683 Method of monitoring a semiconductor manufacturing trend
US Patent 7524688 Active matrix display devices and the manufacture thereof
US Patent 7524705 Method for manufacturing a semiconductor substrate and method for manufacturing a semiconductor device
US Patent 7524713 Manufacturing method of semiconductor device
US Patent 7528000 Method of fabricating optical device caps
US Patent 7528032 Method for manufacturing semiconductor device
US Patent 7528937 Dual-sided substrate measurement apparatus and methods
US Patent 7531369 Process endpoint detection method using broadband reflectometry
US Patent 7531390 Crystallizing method, thin-film transistor manufacturing method, thin-film transistor, and display device
US Patent 7531462 Method of inspecting semiconductor wafer
US Patent 7534632 Method for circuits inspection and method of the same
US Patent 7534705 Method of manufacturing a semiconductor device
US Patent 7537940 Method of manufacturing electronic device capable of controlling threshold voltage and ion implanter controller and system that perform the method
US Patent 7537942 Counting circuit for controlling an off-chip driver and method of changing and output current value of the off-chip driver using the same
US Patent 7538011 Method of manufacturing a semiconductor device
US Patent 7541261 Flexible electronics using ion implantation to adhere polymer substrate to single crystal silicon substrate
US Patent 7541285 Substrate processing apparatus and substrate processing method
US Patent 7544069 Method for fabricating thin film pattern and method for fabricating flat panel display device using the same
US Patent 7544544 Low capacitance two-terminal barrier controlled TVS diodes
US Patent 7547600 Five channel fin transistor and method for fabricating the same
US Patent 7547605 Microelectronic device and a method for its manufacture
US Patent 7550326 Method for manufacturing thin film device and semiconductor device
US Patent 7550328 Method for production of thin-film semiconductor device
US Patent 7550858 Random sequence generation using alpha particle emission
US Patent 7553682 Method of manufacturing vertical nitride light emitting device
US Patent 7553704 Antifuse element and method of manufacture
US Patent 7555830 Broken die detect sensor
US Patent 7556991 Method for manufacturing thin film transistor, electro-optical device, and electronic apparatus
US Patent 7557403 Double gate transistors having at least two polysilicon patterns on a thin body used as active region and methods of forming the same
US Patent 7557446 Semiconductor device and a fabrication process thereof
US Patent 7560007 In-situ wafer temperature measurement and control
US Patent 7560356 Fabrication method of trench capacitor
US Patent 7560397 Laser irradiation method and method of manufacturing a semiconductor device
US Patent 7563698 Method for manufacturing semiconductor device
US Patent 7566578 GaN based group III-V nitride semiconductor light-emitting diode and method for fabricating the same
US Patent 7566591 Method and system for secure heat sink attachment on semiconductor devices with macroscopic uneven surface features
US Patent 7566598 Method of mask reduction for producing a LTPS-TFT array by use of photo-sensitive low-K dielectrics
US Patent 7566900 Integrated metrology tools for monitoring and controlling large area substrate processing chambers
US Patent 7572651 Inkjet-fabricated integrated circuits
US Patent 7572706 Source/drain stressor and method therefor
US Patent 7572716 Semiconductor doping with improved activation
US Patent 7575948 Method for operating photosensitive device
US Patent 7575955 Method for making electronic packages
US Patent 7575968 Inverse slope isolation and dual surface orientation integration
US Patent 7579205 Method of fabricating light emitting device and thus-fabricated light emitting device
US Patent 7579207 Solid-state imaging device, method of producing the same and camera
US Patent 7579233 Method of fabricating semiconductor device for reducing parasitic capacitance between bit lines and semiconductor device fabricated thereby
US Patent 7579656 Transistor structure for semiconductor device and method of fabricating the same
US Patent 7579684 Methods for packing microfeature devices and microfeature devices formed by such methods
US Patent 7582491 Method for diagnosing electrostatic chuck, vacuum processing apparatus, and storage medium
US Patent 7582493 Distinguishing between dopant and line width variation components
US Patent 7582497 Method of manufacturing micro-optic device
US Patent 7582499 Photo sensor and a method for manufacturing thereof
US Patent 7582565 Method and apparatus for semiconductor wafer planarization
US Patent 7582571 Substrate processing method and recording medium
US Patent 7585684 Method and apparatus for detecting backside particles during wafer processing
US Patent 7585685 Method of determining wafer voltage in a plasma reactor from applied bias voltage and current and a pair of constants
US Patent 7586235 Matching circuit for megasonic transducer device
US Patent 7587812 Electronic device manufacturing component with an embedded chip and methods of using the same
US Patent 7588954 InGaAs/GaAs lasers on silicon produced by LEPECVD and MOCVD
US Patent 7588969 Method for manufacturing semiconductor device, and semiconductor device
US Patent 7588974 Program for controlling laser apparatus and recording medium for recording program for controlling laser apparatus and capable of being read out by computer
US Patent 7589032 Laser apparatus, laser irradiation method, semiconductor manufacturing method, semiconductor device, and electronic equipment
US Patent 7592218 Methods of forming vertical transistors
US Patent 7595204 Methods and systems for determining trapped charge density in films
US Patent 7595212 Heat transfer structures
US Patent 7595562 Device package structure, device packaging method, droplet ejection head, connector, and semiconductor device
US Patent 7598142 CMOS device with dual-epi channels and self-aligned contacts
US Patent 7598148 Non-self-aligned heterojunction bipolar transistor and a method for preparing a non-self-aligned heterojunction bipolar transistor
US Patent 7598149 Micro-leds
US Patent 7601587 Fabricating method of CMOS
US Patent 7602006 Semiconductor flash device
US Patent 7602035 Light emitting or light receiving semiconductor module and method for manufacturing same
US Patent 7605052 Method of forming an integrated circuit having a device wafer with a diffused doped backside layer
US Patent 7605054 Method of forming a device wafer with recyclable support
US Patent 7605095 Heat processing method and apparatus for semiconductor process
US Patent 7605416 Thin film translator array panel and a method for manufacturing the panel
US Patent 7608478 On-chip igniter and method of manufacture
US Patent 7608521 Producing SOI structure using high-purity ion shower
US Patent 7612376 Semiconductor device
US Patent 7615451 Method for forming semiconductor device
US Patent 7615453 Method of manufacturing a semiconductor integrated circuit device
US Patent 7618833 Method for pre-treating epitaxial layer, method for evaluating epitaxial layer, and apparatus for evaluating epitaxial layer
US Patent 7618842 Method of applying encapsulant to wire bonds
US Patent 7618868 Method of manufacturing field effect transistors using sacrificial blocking layers
US Patent 7622310 Contamination monitoring and control techniques for use with an optical metrology instrument
US Patent 7622325 Integrated circuit package system including high-density small footprint system-in-package
US Patent 7622334 Wafer-level packaging cutting method capable of protecting contact pads
US Patent 7622336 Manufacturing method of semiconductor device
US Patent 7622366 Method of manufacturing semiconductor device
US Patent 7623560 Quantum photonic imagers and methods of fabrication thereof
US Patent 7625781 Semiconductor device having a plastic housing and external connections and method for producing the same
US Patent 7625805 Passivation of deep isolating separating trenches with sunk covering layers
US Patent 7626239 Process for the collective fabrication of optical filtering components, and wafer of components
US Patent 7629182 Space and process efficient MRAM and method
US Patent 7629202 Method and apparatus for electrostatic discharge protection using a temporary conductive coating
US Patent 7629211 Field effect transistor and method of forming a field effect transistor
US Patent 7629231 Fabrication method of semiconductor device
US Patent 7630064 Prediction method and apparatus for substrate processing apparatus
US Patent 7632713 Methods of packaging microelectronic imaging devices
US Patent 7632736 Self-aligned contact formation utilizing sacrificial polysilicon
US Patent 7635641 Integrated circuit support structures and their fabrication
US Patent 7635642 Integrated circuit package and method for producing it
US Patent 7635651 Method of smoothening dielectric layer
US Patent 7635855 I-shaped phase change memory cell
US Patent 7635887 Integrated circuit arrangement with capacitor in an interconnect layer and method
US Patent 7638373 Method of manufacturing a thin-film transistor substrate
US Patent 7638388 Method of forming a pattern and method of manufacturing a capacitor using the same
US Patent 7638400 Method for fabricating semiconductor device
US Patent 7638430 Method of forming contact plug of semiconductor device
US Patent 7642100 Method and system for yield and productivity improvements in semiconductor processing
US Patent 7642102 Real-time parameter tuning using wafer thickness
US Patent 7642130 Manufacturing method of wiring substrate
US Patent 7642149 Method for producing semiconductor device
US Patent 7642192 Semiconductor device and fabrication method thereof
US Patent 7645652 CMOS image sensor and method for fabricating the same
US Patent 7645663 Method of producing non volatile memory device
US Patent 7645682 Bonding interface quality by cold cleaning and hot bonding
US Patent 7648853 Dual channel heterostructure
US Patent 7648883 Phosphorous doping methods of manufacturing field effect transistors having multiple stacked channels
US Patent 7648893 Method for manufacturing a semiconductor-on-insulator substrate for microelectronics and optoelectronics
US Patent 7648894 Coating composition for insulating film production, preparation method of insulation film by using the same, insulation film for semi-conductor device prepared therefrom, and semi-conductor device comprising the same
US Patent 7648922 Fluorocarbon film and method for forming same
US Patent 7649200 System and method of detecting IC die cracks
US Patent 7651881 Solid-state imaging device and method for manufacturing the same
US Patent 7651907 Method for fabricating semiconductor device
US Patent 7651908 Methods of fabricating image sensors
US Patent 7651918 Strained semiconductor power device and method
US Patent 7651933 Method of fabricating semiconductor device
US Patent 7651936 Method for patterning a semiconductor device
US Patent 7651954 Manufacturing method of semiconductor device and semiconductor device manufacturing apparatus
US Patent 7651959 Method for forming silazane-based dielectric film
US Patent 7655482 Chemical mechanical polishing test structures and methods for inspecting the same
US Patent 7655497 Growth method for chalcongenide phase-change nanostructures
US Patent 7655506 Leadless type semiconductor package, and production process for manufacturing such leadless type semiconductor package
US Patent 7655532 STI film property using SOD post-treatment
US Patent 7655547 Metal spacer in single and dual damascene processing
US Patent 7655556 Interconnect structures for semiconductor devices
US Patent 7655558 Method and system for determining semiconductor characteristics
US Patent 7655579 Method for improving heat transfer of a focus ring to a target substrate mounting device
US Patent 7659141 Wire bond encapsulant application control
US Patent 7659187 Method of forming PN junctions including a post-ion implant dynamic surface anneal process with minimum interface trap density at the gate insulator-silicon interface
US Patent 7659199 Air break for improved silicide formation with composite caps
US Patent 7659200 Self-constrained anisotropic germanium nanostructure from electroplating
US Patent 7659202 Triaxial through-chip connection
US Patent 7662649 Methods for assessing alignments of substrates within deposition apparatuses; and methods for assessing thicknesses of deposited layers within deposition apparatuses
US Patent 7662661 Method of manufacturing a substrate structure for increasing cutting precision and strength thereof
US Patent 7662663 OLED patterning method
US Patent 7662672 Manufacturing process of leadframe-based BGA packages
US Patent 7663762 High-speed transmission system comprising a coupled multi-cavity optical discriminator
US Patent 7666693 Top-emitting nitride-based light emitting device and method of manufacturing the same
US Patent 7666718 Wiring and manufacturing method thereof, semiconductor device comprising said wiring, and dry etching method
US Patent 7666721 SOI substrates and SOI devices, and methods for forming the same
US Patent 7666730 Method for forming a dual metal gate structure
US Patent 7666747 Process of manufacturing semiconductor device
US Patent 7666762 Method for fabricating semiconductor device
US Patent 7666768 Through-die metal vias with a dispersed phase of graphitic structures of carbon for reduced thermal expansion and increased electrical conductance
US Patent 7666769 Method for fabricating image display device
US Patent 7666776 Methods of forming conductive structures
US Patent 7666785 Method for fabricating semiconductor device with interface barrier
US Patent 7666799 Epitaxial growth of relaxed silicon germanium layers
US Patent 7667287 Thin film transistor and method of fabricating thin film transistor substrate
US Patent 7670873 Method of flip-chip mounting
US Patent 7670883 Method for manufacturing pixel structure
US Patent 7670951 Grid array connection device and method
US Patent 7670964 Apparatus and methods of forming a gas cluster ion beam using a low-pressure source
US Patent 7674647 Method for manufacturing photoelectric conversion device
US Patent 7674648 Extended dynamic range using variable sensitivity pixels
US Patent 7674672 Fabricating process for substrate with embedded passive component
US Patent 7674684 Deposition methods for releasing stress buildup
US Patent 7674695 Wafer cleaning system
US Patent 7674712 Patterning method for light-emitting devices
US Patent 7678610 Semiconductor chip package and method of manufacture
US Patent 7678636 Selective formation of stress memorization layer
US Patent 7678667 Method of bonding MEMS integrated circuits
US Patent 7679193 Use of AIN as cooper passivation layer and thermal conductor
US Patent 7682869 Method of packaging integrated circuit devices using preformed carrier
US Patent 7682883 Manufacturing method of thin film transistor array substrate and liquid crystal display panel
US Patent 7682884 Method for fabricating pixel structure
US Patent 7682890 Method of fabricating semiconductor device
US Patent 7682913 Process for making a MCSFET
US Patent 7682921 Method and apparatus for interconnecting electrodes with partial titanium coating
US Patent 7682939 Method for the preparation of group IB-IIIA-VIA quaternary or higher alloy semiconductor films
US Patent 7682943 Nanostructures and methods for manufacturing the same
US Patent 7682969 Method of fabricating semiconductor device
US Patent 7687301 Integrated device manufacturing process
US Patent 7687325 Semiconductor device and manufacturing method thereof
US Patent 7687332 SOI circuit having reduced crosstalk interference and a method for forming the same
US Patent 7687338 Method of reducing embedded SiGe loss in semiconductor device manufacturing
US Patent 7687341 Method for fabricating semiconductor device
US Patent 7687369 Method of forming fine metal patterns for a semiconductor device using a damascene process
US Patent 7691669 Techniques for providing decoupling capacitance
US Patent 7691688 Strained silicon CMOS on hybrid crystal orientations
US Patent 7691704 Method for manufacturing semiconductor device having damascene MIM type capacitor
US Patent 7691724 Method for manufacturing SOI substrate
US Patent 7695987 Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US Patent 7695994 Material sidewall deposition method
US Patent 7696016 Method of packaging a device having a tangible element and device thereof
US Patent 7696021 Semiconductor device manufactured using a non-contact implant metrology
US Patent 7696032 Semiconductor device including a crystal semiconductor layer, its fabrication and its operation
US Patent 7696069 Wafer dividing method
US Patent 7700161 Film growth system and method
US Patent 7700379 Methods of conducting wafer level burn-in of electronic devices
US Patent 7700406 Methods of assembling integrated circuit packages
US Patent 7700410 Chip-in-slot interconnect for 3D chip stacks
US Patent 7700418 Method for production of thin-film semiconductor device
US Patent 7700461 Methods of laterally forming single crystalline thin film regions from seed layers
US Patent 7700493 Method for fabricating semiconductor device
US Patent 7700996 Tunable antifuse elements
US Patent 7704766 Transflective liquid crystal display device and method of fabricating the same
US Patent 7704786 Printed organic logic circuits using a floating gate transistor as a load device
US Patent 7704809 Silicon-on-insulator chip with multiple crystal orientations
US Patent 7704820 Fabricating method of metal line
US Patent 7704836 Method of fabricating super trench MOSFET including buried source electrode
US Patent 7704862 Surface planarization of thin silicon films during and after processing by the sequential lateral solidification method
US Patent 7704864 Method of manufacturing a superjunction device with conventional terminations
US Patent 7704882 Semiconductor devices using fine patterns and methods of forming fine patterns
US Patent 7704884 Semiconductor processing methods
US Patent 7709275 Method of forming a pattern for a semiconductor device and method of forming the related MOS transistor
US Patent 7709276 Manufacturing method of a semiconductor device and substrate processing apparatus
US Patent 7709281 Semiconductor laser device and method of manufacturing the same
US Patent 7709396 Integral patterning of large features along with array using spacer mask patterning process flow
US Patent 7713771 Pressure sensor
US Patent 7713776 Method of making a light emitting diode
US Patent 7713780 Method of multi-processing object using polygon mirror
US Patent 7713786 Etching/bonding chamber for encapsulated devices and method of use
US Patent 7713798 Thin film transistor substrate having nickel-silicide layer
US Patent 7713800 Semiconductor device and manufacturing method thereof
US Patent 7713814 Hybrid orientation substrate compatible deep trench capacitor embedded DRAM
US Patent 7713817 Methods of forming semiconductor structures
US Patent 7713889 Substrate processing method, photomask manufacturing method, photomask, and device manufacturing method
US Patent 7714373 Semiconductor device and method of manufacturing the same
US Patent 7714403 Image sensor using back-illuminated photodiode and method of manufacturing the same
US Patent 7718452 Display apparatus and method of manufacturing the same
US Patent 7718461 Nanometer-scale electromechanical switch and fabrication process
US Patent 7718473 HF control bidirectional switch
US Patent 7718511 Processing method for wafer
US Patent 7718515 Method for fabricating semiconductor device
US Patent 7718530 Method for manufacturing semiconductor device
US Patent 7718531 Method for forming catalyst nanoparticles for growing elongated nanostructures
US Patent 7718548 Selective copper-silicon-nitride layer formation for an improved dielectric film/copper line interface
US Patent 7719118 Semiconductor chip scale package incorporating through-vias electrically connected to a substrate and other vias that are isolated from the substrate, and method of forming the package
US Patent 7722683 Method and apparatus for a capacitor shell including two mateable cupped components
US Patent 7723153 Printed organic logic circuits using an organic semiconductor as a resistive load device
US Patent 7723161 Lead frame, method of manufacturing the same, semiconductor device using lead frame and method of manufacturing semiconductor device
US Patent 7723174 CMOS device comprising MOS transistors with recessed drain and source areas and a SI/GE material in the drain and source areas of the PMOS transistor
US Patent 7723208 Integrated re-combiner for electroosmotic pumps using porous frits
US Patent 7727781 Manufacture of devices including solder bumps
US Patent 7727782 Apparatus for improving incoming and outgoing wafer inspection productivity in a wafer reclaim factory
US Patent 7727785 Wafer back side coating to balance stress from passivation layer on front of wafer and be used as die attach adhesive
US Patent 7727858 Method for fabricating a chip scale package using wafer level processing
US Patent 7727867 Method for manufacturing SIMOX wafer
US Patent 7727903 Method of forming strain-causing layer for MOS transistors and process for fabricating strained MOS transistors
US Patent 7728293 Structures and methods for measuring beam angle in an ion implanter
US Patent 7728427 Assembling stacked substrates that can form cylindrical inductors and adjustable transformers
US Patent 7731764 Singulation metal mold and method for producing semiconductor device
US Patent 7732266 Thin film array panel and manufacturing method thereof
US Patent 7732300 Method of bonding aluminum electrodes of two semiconductor substrates
US Patent 7732314 Method for depositing a diffusion barrier for copper interconnect applications
US Patent 7732329 Method and apparatus for workpiece surface modification for selective material deposition
US Patent 7732344 High selectivity etching process for metal gate N/P patterning
US Patent 7732910 Lead frame including suspending leads having trenches formed therein
US Patent 7736398 Method of manufacturing conductive polymer electrolytic capacitor
US Patent 7736917 Laser beam irradiation method and method of manufacturing a thin firm transistor
US Patent 7736920 Led package structure with standby bonding pads for increasing wire-bonding yield and method for manufacturing the same
US Patent 7736923 Optical semiconductor device and method for fabricating the same
US Patent 7736933 Method for manufacturing photoelectric conversion device
US Patent 7736938 Methods for fabricating CMOS image sensor
US Patent 7736965 Method of making a FinFET device structure having dual metal and high-k gates
US Patent 7736974 Method for manufacturing semiconductor device to prevent defects due to insulation layer volume change
US Patent 7736994 Method for manufacturing compound material wafers and corresponding compound material wafer
US Patent 7737008 Method for making quantum dots
US Patent 7737037 Semiconductor device and method of manufacturing the same
US Patent 7741140 Methods, apparatus, and rollers for cross-web forming of optoelectronic devices
US Patent 7741162 Method for manufacturing high-frequency module device
US Patent 7741208 Method for making a wedge wedge wire loop
US Patent 7741210 Methods of forming a conductive interconnect in a pixel of an imager and in other integrated circuitry
US Patent 7741218 Conductive via formation utilizing electroplating
US Patent 7741716 Integrated circuit bond pad structures
US Patent 7745237 Pattern forming method and pattern forming system
US Patent 7745258 Manufacturing method of semiconductor device
US Patent 7745280 Metal-insulator-metal capacitor structure
US Patent 7745290 Methods of fabricating semiconductor device including fin-fet
US Patent 7745299 Semiconductor device and fabrication method thereof
US Patent 7745307 Method of manufacturing an inkjet head through the anodic bonding of silicon members
US Patent 7745326 Semiconductor device having multiple wiring layers and method of producing the same
US Patent 7745333 Methods for depositing tungsten layers employing atomic layer deposition techniques
US Patent 7749851 Semiconductor device and method for fabricating semiconductor device
US Patent 7749866 Method for sawing a wafer and method for manufacturing a semiconductor package by using a multiple-type tape
US Patent 7749881 Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US Patent 7749884 Method of forming an electronic device using a separation-enhancing species
US Patent 7749887 Methods of fluxless micro-piercing of solder balls, and resulting devices
US Patent 7750470 Methods for planarization of dielectric layer around metal patterns for optical efficiency enhancement
US Patent 7754502 Backside defect detector and method that determines whether unwanted materials are present on the backside of a semiconductor wafer
US Patent 7754506 Method of fabricating submicron suspended objects and application to the mechanical characterization of said objects
US Patent 7754519 Methods of forming a photovoltaic cell
US Patent 7754539 Module integration integrated circuits
US Patent 7754578 Process for manufacturing a wafer by annealing of buried channels
US Patent 7754582 Laser processing method
US Patent 7754586 Method of surface treating a phase change layer and method of manufacturing a phase change memory device using the same
US Patent 7754595 Method for manufacturing semiconductor device
US Patent 7754596 Semiconductor device preventing electrical short and method of manufacturing the same
US Patent 7754617 Polysilicon deposition and anneal process enabling thick polysilicon films for MEMS applications
US Patent 7759143 System and method for separating and packaging integrated circuits
US Patent 7759157 Gate oxide film structure for a solid state image pick-up device
US Patent 7759160 Method for producing conductor structures and applications thereof
US Patent 7759216 Method for forming trench isolation
US Patent 7759223 Semiconductor wafer and manufacturing process for semiconductor device
US Patent 7759239 Method of reducing a critical dimension of a semiconductor device
US Patent 7759240 Use of palladium in IC manufacturing with conductive polymer bump
US Patent 7759685 Multifaced microdevice system array
US Patent 7759763 Semiconductor device and a method of manufacturing the same
US Patent 7760008 Digital trimming in a microelectronic device
US Patent 7763481 Liquid crystal display and fabrication method thereof
US Patent 7763499 CMOS front end process compatible low stress light shield
US Patent 7763505 Method for reducing crystal defects in transistors with re-grown shallow junctions by appropriately selecting crystalline orientations
US Patent 7763516 Manufacturing method of semiconductor device having trench isolation
US Patent 7763526 Wafer and wafer cutting and dividing method
US Patent 7766981 Electrode stack for capacitive device
US Patent 7767478 Thin film transistor array panel and method for manufacturing the same
US Patent 7767479 Quantum photonic imagers and methods of fabrication thereof
US Patent 7767485 Solid-state imaging device and method for manufacturing same
US Patent 7767499 Method to form upward pointing p-i-n diodes having large and uniform current
US Patent 7767506 Mask and manufacturing method of a semiconductor device and a thin film transistor array panel using the mask
US Patent 7767508 Method for forming offset spacers for semiconductor device arrangements
US Patent 7767519 One transistor/one capacitor dynamic random access memory (1T/1C DRAM) cell
US Patent 7767533 Method and device for providing a contact structure
US Patent 7767552 Method for avoiding die cracking
US Patent 7767554 Method of manufacturing semicondictor chip
US Patent 7767572 Methods of forming a barrier layer in an interconnect structure
US Patent 7767574 Method of forming micro metal bump
US Patent 7772039 Procedure for arranging chips of a first substrate on a second substrate
US Patent 7772050 Method of manufacturing flat panel display
US Patent 7772069 Methods of forming a semiconductor device
US Patent 7772113 Post metal chemical mechanical polishing dry cleaning
US Patent 7772120 Chemical vapor deposition method for the incorporation of nitrogen into materials including germanium and antimony
US Patent 7772657 Three-dimensional force input control device and fabrication
US Patent 7772681 Semiconductor die package and method for making the same
US Patent 7776627 Flexible structures for interconnect reliability test
US Patent 7776634 Semiconductor laser with a weakly coupled grating
US Patent 7776637 Method of manufacturing light emitting diodes
US Patent 7776659 Semiconductor device manufacturing method
US Patent 7776714 Method for production of a very thin layer with thinning by means of induced self-support
US Patent 7776726 Semiconductor devices and methods of manufacture thereof
US Patent 7777229 Method and apparatus for reducing smear in back-illuminated imaging sensors
US Patent 7777257 Bipolar Schottky diode and method
US Patent 7781242 Method of forming vertical structure light emitting diode with heat exhaustion structure
US Patent 7781253 Image sensor and method of manufacturing the same
US Patent 7781263 Systems, devices, and methods for semiconductor device temperature management
US Patent 7781289 Method for fabricating higher quality thicker gate oxide in a non-volatile memory cell and associated circuits
US Patent 7781316 Methods of manufacturing metal-silicide features
US Patent 7781318 Semiconductor device and method of manufacturing the same
US Patent 7781890 Structure and method for parallel testing of dies on a semiconductor wafer
US Patent 7785909 Image sensor and method for manufacturing the same
US Patent 7785920 Method for making a pillar-type phase change memory element
US Patent 7785932 Placement method of an electronic module on a substrate and device produced by said method
US Patent 7785946 Integrated circuits and methods of design and manufacture thereof
US Patent 7785990 Semiconductor device and method of fabricating the same
US Patent 7786006 Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming
US Patent 7786011 Composition and methods for forming metal films on semiconductor substrates using supercritical solvents
US Patent 7786014 Electronic device and method for making the same
US Patent 7786015 Method for fabricating self-aligned complementary pillar structures and wiring
US Patent 7786020 Method for fabricating nonvolatile memory device
US Patent 7786023 Metal pad formation method and metal pad structure using the same
US Patent 7786586 Inductor of semiconductor device and method for manufacturing the same
US Patent 7790480 Method for determining relative swing curve amplitude
US Patent 7790484 Method for manufacturing laser devices
US Patent 7790490 Surface micromechanical process for manufacturing micromachined capacitive ultra-acoustic transducers and relevant micromachined capacitive ultra-acoustic transducer
US Patent 7790499 Observation apparatus and method for observing void in underfill resin
US Patent 7790508 Method for forming a structure
US Patent 7790557 Method of manufacturing silicon carbide semiconductor device
US Patent 7790588 Dual gate of semiconductor device capable of forming a layer doped in high concentration over a recessed portion of substrate for forming dual gate with recess channel structure and method for manufacturing the same
US Patent 7790627 Semiconductor device, method of manufacturing the same, and method of manufacturing metal compound thin film
US Patent 7795054 Vertical structure LED device and method of manufacturing the same
US Patent 7795086 Method of manufacturing semiconductor device using salicide process
US Patent 7795108 Resistance-based etch depth determination for SGT technology
US Patent 7795111 Manufacturing method of SOI substrate and manufacturing method of semiconductor device
US Patent 7795157 Substrate treatment device and manufacturing method of semiconductor device
US Patent 7799592 Tri-gate field-effect transistors formed by aspect ratio trapping
US Patent 7799598 Processing systems and methods for molecular memory
US Patent 7799666 Method of spatially selective laser-assisted doping of a semiconductor
US Patent 7799672 Semiconductor device and method for manufacturing same
US Patent 7799678 Method for forming a through silicon via layout
US Patent 7799683 Copper interconnect wiring and method and apparatus for forming thereof
US Patent 7799701 Method of coating substrate
US Patent 7800178 Semiconductor device and method for manufacturing the same
US Patent 7803642 Evaluation method of semiconductor device
US Patent 7803648 Nitride semiconductor light-emitting device and method for fabrication thereof
US Patent 7803659 Method of encapsulating wire bonds
US Patent 7803671 Method of manufacturing a display substrate
US Patent 7803673 Method of manufacturing a thin film transistor substrate
US Patent 7803675 Gate-all-around type semiconductor device and method of manufacturing the same
US Patent 7803720 Coating process and equipment for reduced resist consumption
US Patent 7803721 Semiconductor device and method of manufacturing same
US Patent 7804095 Image display device and manufacturing method for the same
US Patent 7806324 Methods of making and using memory card with enhanced testability
US Patent 7807477 Varactors and methods of manufacture and use
US Patent 7807513 Method for manufacturing semiconductor device
US Patent 7807539 Ion implantation and process sequence to form smaller base pick-up
US Patent 7807572 Micropad formation for a semiconductor
US Patent 7807584 Method of forming metallic oxide films using atomic layer deposition
US Patent 7808029 Mask structure for manufacture of trench type semiconductor device
US Patent 7811337 Ultracapacitor electrode with controlled sulfur content
US Patent 7811338 Solid electrolytic capacitor element, method for manufacturing same, and solid electrolytic capacitor
US Patent 7811843 Method of manufacturing light-emitting diode
US Patent 7811853 Method for avoiding die cracking
US Patent 7811854 Assembling stacked substrates that can form 3-D structures
US Patent 7811877 Method of controlling metal silicide formation
US Patent 7811906 Carbon-on-insulator substrates by in-place bonding
US Patent 7811908 Method of storing GaN substrate, stored substrate, and semiconductor device and method of its manufacture
US Patent 7811917 Systems and methods for maintaining performance at a reduced power
US Patent 7811930 Manufacturing method of dual damascene structure
US Patent 7812380 Solid-state imaging device and manufacturing method of the same
US Patent RE41841 Method for making a silicon substrate comprising a buried thin silicon oxide film
US Patent 7816161 Dual panel type organic electroluminescent display device and method of fabricating the same
US Patent 7816166 Method to form a MEMS structure having a suspended portion
US Patent 7816184 Micromachine device processing method
US Patent 7816191 Wiring material, semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof
US Patent 7816194 Method of manufacturing thin film transistor
US Patent 7816228 Method of manufacturing a semiconductor device
US Patent 7816229 Semiconductor device with channel stop trench and method
US Patent 7816253 Surface treatment of inter-layer dielectric
US Patent 7816264 Wafer processing method
US Patent 7820465 Manufacturing method for a circuit pattern, a thin film transistor and an electronic appliance
US Patent 7820487 Manufacturing method of semiconductor device
US Patent 7820498 Backside illuminated imaging sensor with light reflecting transfer gate
US Patent 7820521 Conductive through via structure and process for electronic device carriers
US Patent 7820524 Manufacturing method of SOI substrate and manufacturing method of semiconductor device
US Patent 7820534 Method of manufacturing silicon carbide semiconductor device
US Patent 7820537 Method for fabricating semiconductor device
US Patent 7820538 Method of fabricating a MOS device with non-SiO
US Patent 7820549 Layered semiconductor wafer with low warp and bow, and process for producing it
US Patent 7821103 Counter-doped varactor structure and method
US Patent 7824931 Substrate processing control method and storage medium
US Patent 7824934 Substrate processing apparatus, parameter management system for substrate processing apparatus, parameter management method for substrate processing apparatus, program, and storage medium
US Patent 7824936 Method of manufacturing dispersion type AC inorganic electroluminescent device and dispersion type AC inorganic electroluminescent device manufactured thereby
US Patent 7824943 Methods for trapping charge in a microelectromechanical system and microelectromechanical system employing same
US Patent 7824955 Hybrid beam deposition system and methods for fabricating metal oxide-ZnO films, p-type ZnO films, and ZnO-based II-VI compound semiconductor devices
US Patent 7824962 Method of integrated circuit fabrication
US Patent 7824999 Method for enhancing field oxide
US Patent 7825001 Electronic device, method for manufacturing the same, and silicon substrate for electronic device
US Patent 7825004 Method of producing semiconductor device
US Patent 7825030 Method of forming a spacer
US Patent 7825037 Fabrication of enclosed nanochannels using silica nanoparticles
US Patent 7825040 Method for depositing flowable material using alkoxysilane or aminosilane precursor
US Patent 7825448 U-shaped SONOS memory having an elevated source and drain
US Patent 7825515 Semiconductor device, display device, and method of manufacturing semiconductor device
US Patent 7829370 Image sensor and fabricating method thereof
US Patent 7829375 Method for manufacturing organic thin film transistor and organic thin film transistor
US Patent 7829399 Capacitorless DRAM on bulk silicon
US Patent 7829441 Thermosetting die-bonding film
US Patent 7829445 Method of manufacturing a flash memory device
US Patent 7829864 Microfabricated miniature grids
US Patent 7829902 Quantum photonic imagers and methods of fabrication thereof
US Patent 7830690 Memory module thermal management
US Patent 7833841 Semiconductor apparatus and method for manufacturing the same
US Patent 7833898 Method for manufacturing resistance RAM device
US Patent 7833912 Semiconductor device and method of manufacturing the same
US Patent 7838329 Antimony and germanium complexes useful for CVD/ALD of metal thin films
US Patent 7838334 Package-on-package device, semiconductor package and method for manufacturing the same
US Patent 7838377 Power semiconductor devices with mesa structures and buffer layers including mesa steps
US Patent 7838423 Method of forming capping structures on one or more material layer surfaces
US Patent 7839004 Semiconductor device, semiconductor module, method for manufacturing semiconductor device, and lead frame
US Patent 7842559 Method of fabricating multi-gate semiconductor devices with improved carrier mobility
US Patent 7842563 Thin film transistor, method of fabricating the same, and flat panel display using thin film transistor
US Patent 7842621 Method of measuring nitrogen concentration, method of forming silicon oxynitride film, and method of manufacturing semiconductor device.
US Patent 7843010 Crystalline semiconductor film and method for manufacturing the same
US Patent 7843060 Droop-free high output light emitting devices and methods of fabricating and operating same
US Patent 7846792 Method for manufacturing semiconductor device and semiconductor device manufacturing system
US Patent 7846809 Method for forming capacitor of semiconductor device
US Patent 7846810 Method of measuring warpage of rear surface of substrate
US Patent 7846825 Method of forming a contact hole and method of manufacturing a semiconductor device having the same
US Patent 7846836 Method of forming a conductive structure in a semiconductor device and method of manufacturing a semiconductor device
US Patent 7847358 High performance strained CMOS devices
US Patent 7850780 System for thin film deposition utilizing compensating forces
US Patent 7851236 Film thickness prediction method, layout design method, mask pattern design method of exposure mask, and fabrication method of semiconductor integrated circuit
US Patent 7851247 Method of fabricating micro-electromechanical system microphone structure
US Patent 7851250 Method for manufacturing semiconductor device and method for manufacturing display device
US Patent 7851254 B-stageable die attach adhesives
US Patent 7851272 Multi-project wafer and method of making same
US Patent 7851340 Semiconductor fin integration using a sacrificial fin
US Patent 7851342 In-situ formation of conductive filling material in through-silicon via
US Patent 7851370 Patterning method
US Patent 7851380 Process for atomic layer deposition
US Patent 7855086 Method for monitoring fabrication parameter
US Patent 7855088 Method for manufacturing integrated circuits by guardbanding die regions
US Patent 7855092 Device for emitting white-color light
US Patent 7855103 Wirebond structure and method to connect to a microelectronic die
US Patent 7855105 Planar and non-planar CMOS devices with multiple tuned threshold voltages
US Patent 7858404 Measurement of overlay offset in semiconductor processing
US Patent 7858410 Surface emitting semiconductor laser, its manufacturing method, and manufacturing method of electron device
US Patent 7858430 Method for making a photovoltaic cell comprising contact regions doped through a lamina
US Patent 7858439 Stacked semiconductor package and method for manufacturing the same
US Patent 7858451 Electronic device, semiconductor device and manufacturing method thereof
US Patent 7858462 Method of manufacturing semiconductor device
US Patent 7858488 Method of forming a device isolation film of a semiconductor device
US Patent 7863076 Solid-state image pickup device, method for making same, and image pickup apparatus
US Patent 7863119 Semiconductor device and method for manufacturing the same
US Patent 7863120 Liquid crystal display device with double metal layer source and drain electrodes and fabricating method thereof
US Patent 7863140 Methods of making a molecular detection chip having a metal oxide silicon field effect transistor on sidewalls of a micro-fluid channel
US Patent 7863182 Dicing die-bonding film
US Patent 7863198 Method and device to vary growth rate of thin films over semiconductor structures
US Patent 7863754 Semiconductor device and manufacturing method thereof
US Patent 7867796 Liquid crystal display and fabrication method thereof
US Patent 7867842 Method and apparatus for forming planar alloy deposits on a substrate
US Patent 7867857 Transistor and method for manufacturing same
US Patent 7867882 Method of manufacturing silicon carbide semiconductor device
US Patent 7867916 Horizontal coffee-stain method using control structure to pattern self-organized line structures
US Patent 7871835 Method for packaging light-emitting diode
US Patent 7871849 Method for manufacturing photoelectric conversion device
US Patent 7871854 Method of making a vertical photodetector
US Patent 7871930 Method of manufacturing a light emitting device and thin film forming apparatus
US Patent 7871932 Manufacturing method of semiconductor device
US Patent 7871934 Method for an integrated circuit contact
US Patent 7872281 Glass-coated light-emitting element, light-emitting element-attached wiring board, method for producing light-emitting element-attached wiring board, lighting device and projector
US Patent 7875504 Method of adhering wire bond loops to reduce loop height
US Patent 7875559 Method of manufacturing P-type ZnO semiconductor layer using atomic layer deposition and thin film transistor including the P-type ZnO semiconductor layer
US Patent 7879698 Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor
US Patent 7879710 Substrate processing including a masking layer
US Patent 7880293 Wafer integrated with permanent carrier and method therefor
US Patent 7883913 Manufacturing method of image sensor of vertical type
US Patent 7883927 Method and apparatus to sort nanotubes
US Patent 7884015 Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US Patent 7884018 Method for improving the selectivity of a CVD process
US Patent 7884036 Methods for treating substrates in preparation for subsequent processes
US Patent 7888139 Fabricating method of nonvolatile semiconductor storage apparatus
US Patent 7888211 Method of manufacturing flash memory device
US Patent 7888229 Method for manufacturing an energy storage device
US Patent 7888246 Semiconductor integrated circuit device and a method of fabricating the same
US Patent 7888263 Cladded silver and silver alloy metallization for improved adhesion electromigration resistance
US Patent 7888271 Method of manufacturing silicon nano-structure
US Patent 7888678 Thin film array panel and manufacturing method thereof
US Patent 7888732 Lateral drain-extended MOSFET having channel along sidewall of drain extension dielectric
US Patent 7888742 Self-aligned metal-semiconductor alloy and metallization for sub-lithographic source and drain contacts
US Patent 7892887 Semiconductor device and fabrication method thereof
US Patent 7892953 Method for making multi-crystalline film of solar cell
US Patent 7892966 Semiconductor device having thermally formed air gap in wiring layer and method of fabricating same
US Patent 7892967 Semiconductor device and method for manufacturing the same
US Patent 7892968 Via gouging methods and related semiconductor structure
US Patent 7897415 Ferroelectric recording medium and method of manufacturing the same
US Patent 7897427 Method for manufacturing solid-state image pick-up device
US Patent 7897476 Method of manufacturing SOI substrate
US Patent 7897478 Semiconductor device with field plate and method
US Patent 7898064 Methods for forming through wafer interconnects and structures resulting therefrom
US Patent 7901965 Thin film transistor substrate and method of manufacturing thereof
US Patent 7901975 Continuous deposition process and apparatus for manufacturing cadmium telluride photovoltaic devices
US Patent 7902020 Semiconductor device and method of manufacturing the same
US Patent 7902040 Dual-sided substrate measurement apparatus and methods
US Patent 7902047 Dual chamber system providing simultaneous etch and deposition on opposing substrate sides for growing low defect density epitaxial layers
US Patent 7902607 Fabrication of local damascene finFETs using contact type nitride damascene mask
US Patent 7902660 Substrate for semiconductor device and manufacturing method thereof
US Patent 7906348 Method of feed forward control of scanned rapid thermal processing
US Patent 7906396 Flash memory and method of fabricating the same
US Patent 7906419 Laser annealing method for manufacturing semiconductor device
US Patent 7906420 Method and apparatus for forming planar alloy deposits on a substrate
US Patent 7906427 Dimension profiling of SiC devices
US Patent 7906825 Ge imager for short wavelength infrared
US Patent 7906841 Wafer level incapsulation chip and encapsulation chip manufacturing method
US Patent 7910394 Photodiode with improved charge capacity
US Patent 7910407 Quad memory cell and method of making same
US Patent 7910477 Etch residue reduction by ash methodology
US Patent 7910481 Method for fabricating semiconductor device
US Patent 7911013 Space and process efficient MRAM
US Patent 7915060 Grid array connection device and method
US Patent 7915078 Manufacturing method for semiconductor device embedded substrate
US Patent 7915079 Method of manufacturing layered chip package
US Patent 7915088 Wiring board manufacturing method, semiconductor device manufacturing method and wiring board
US Patent 7915110 MOS transistor manufacturing
US Patent 7915168 Semiconductor processing methods
US Patent 7915178 Passivation of aluminum nitride substrates
US Patent 7919801 RF power transistor structure and a method of forming the same
US Patent 7923125 Apparatus for solder crack deflection
US Patent 7923305 Patterning method for high density pillar structures
US Patent 7923316 Method of forming a polysilicon film and method of manufacturing a thin film transistor including a polysilicon film
US Patent 7923343 Capacitor of semiconductor device and method for forming the same
US Patent 7923358 Methods for preparation of high-purity polysilicon rods using a metallic core means
US Patent 7927911 Wafer bonded access device for multi-layer phase change memory using lock-and-key alignment
US Patent 7927953 Nonvolatile semiconductor memory device and method for manufacturing the same
US Patent 7927970 Producing SOI structure using high-purity ion shower
US Patent 7928002 Method of forming wiring layer of semiconductor device
US Patent 7928010 Method for producing portable memory devices
US Patent 7932596 Thermally enhanced electronic flip-chip packaging with external-connector-side die and method
US Patent 7935577 Method for forming shielded gate field effect transistor using spacers
US Patent 7935579 Thin film transistor array substrate and method for fabricating the same
US Patent 7935602 Semiconductor processing methods
US Patent 7935621 Anti-fuse device structure and electroplating circuit structure and method
US Patent 7935985 N-face high electron mobility transistors with low buffer leakage and low parasitic resistance
US Patent 7939367 Method for growing an adherent diamond layer atop an interlayer bonded to a compound semiconductor substrate
US Patent 7939414 Ion implantation and process sequence to form smaller base pick-up
US Patent 7943414 Method for manufacturing SOI substrate
US Patent 7943423 Reconfigured wafer alignment
US Patent 7943441 Method of forming thin film transistor array substrate
US Patent 7943458 Methods for obtaining gate stacks with tunable threshold voltage and scaling
US Patent 7943496 Method of manufacturing GaN-based transistors
US Patent 7943501 Systems and methods of forming tantalum silicide layers
US Patent 7947531 Combinatorial evaluation of dry semiconductor processes
US Patent 7947569 Method for producing a semiconductor including a foreign material layer
US Patent 7947589 FinFET formation with a thermal oxide spacer hard mask formed from crystalline silicon layer
US Patent 7948034 Apparatus and method for semiconductor bonding
US Patent 7955797 Fluid storage and dispensing system including dynamic fluid monitoring of fluid storage and dispensing vessel
US Patent 7955911 TFT-LCD pixel unit and method for manufacturing the same
US Patent 7960214 Chip package
US Patent 7960242 Method for fabrication of a semiconductor device and structure
US Patent 7964422 Method and system for controlling a semiconductor fabrication process
US Patent 7964429 Method for manufacturing photoelectric conversion device
US Patent 7964438 Method for forming a film with a graded bandgap by deposition of an amorphous material from a plasma
US Patent 7964446 Semiconductor device and method of manufacturing the same
US Patent 7964481 Method for fabricating an inorganic nanocomposite
US Patent 7964486 Field effect transistor and method for fabricating the same
US Patent 7964505 Atomic layer deposition of tungsten materials
US Patent 7966879 Fluid storage and dispensing system including dynamic fluid monitoring of fluid storage and dispensing vessel
US Patent 7968357 Manufacturing method of liquid crystal display device
US Patent 7968387 Thin film transistor and method of fabricating thin film transistor substrate
US Patent 7968452 Titanium-based high-K dielectric films
US Patent 7968460 Semiconductor with through-substrate interconnect
US Patent 7968461 Method for forming wiring, method for manufacturing thin film transistor and droplet discharging method
US Patent 7968932 Semiconductor device and manufacturing method thereof
US Patent 7969003 Bump structure having a reinforcement member
US Patent 7972944 Process simulation method, semiconductor device manufacturing method, and process simulator
US Patent 7972950 Method of fabricating semiconductor device having dual gate
US Patent 7972961 Purge step-controlled sequence of processing semiconductor wafers
US Patent 7972971 Method for producing Si
US Patent 7976587 High energy density ultracapacitor
US Patent 7977140 Methods for producing solid-state imaging device and electronic device
US Patent 7977141 Solid-state image pickup device and method of manufacturing the same
US Patent 7977145 Method of fabricating silicon/dielectric multi-layer semiconductor structures using layer transfer technology and also a three-dimensional multi-layer semiconductor device and stacked layer type image sensor using the same method, and a method of manufacturing a three-dimensional multi-layer semiconductor device and the stack type image sensor
US Patent 7977157 Methods of forming integrated circuit packages, and methods of assembling integrated circuit packages
US Patent 7977176 Flexible display device and fabricating method thereof
US Patent 7977214 Method of manufacturing field-effect transistor, field-effect transistor, and method of manufacturing display device
US Patent 7977216 Silicon wafer and fabrication method thereof
US Patent 7977226 Flash memory device and method of fabricating the same
US Patent 7977228 Methods for the formation of interconnects separated by air gaps
US Patent 7977706 Tri-gate field-effect transistors formed by aspect ratio trapping
US Patent 7981699 Method for tunably repairing low-k dielectric damage
US Patent 7981709 Semiconductor device and method for fabricating the same
US Patent 7981722 Semiconductor device and fabrication method thereof
US Patent 7981727 Electronic device wafer level scale packages and fabrication methods thereof
US Patent 7981760 Method for manufacturing nonvolatile storage element and method for manufacturing nonvolatile storage device
US Patent 7981768 Method for transferring an epitaxial layer
US Patent 7981781 Metal line of semiconductor device having a diffusion barrier and method for forming the same
US Patent 7981786 Method of fabricating non-volatile memory device having charge trapping layer
US Patent 7985610 Solar cell, method of forming emitter layer of solar cell, and method of manufacturing solar cell
US Patent 7985622 Method of forming collapse chip connection bumps on a semiconductor substrate
US Patent 7985654 Planarization stop layer in phase change memory integration
US Patent 7985665 Method of forming polycrystalline silicon thin film and method of manufacturing thin film transistor using the method
US Patent 7986008 SOI semiconductor components and methods for their fabrication
US Patent 7989231 Method of manufacturing silicon carbide semiconductor device
US Patent 7989337 Implementing vertical airgap structures between chip metal layers
US Patent 7989359 Semiconductor module manufacturing method, semiconductor module, and mobile device
US Patent 7993951 Method of manufacturing photoelectric conversion device
US Patent 7993985 Method for forming a semiconductor device with a single-sided buried strap
US Patent 7993989 Vertical spacer forming and related transistor
US Patent 7994055 Method of manufacturing semiconductor apparatus, and semiconductor apparatus
US Patent 7994060 Dual exposure track only pitch split process
US Patent 7998761 Light emitting diode with ITO layer and method for fabricating the same
US Patent 7998810 Methods of forming integrated circuit devices having stacked gate electrodes
US Patent 7998876 Method of producing semiconductor element
US Patent 7999339 Photoelectric conversion device and solid-state imaging device
US Patent 8003484 Method for forming silicon oxide film, plasma processing apparatus and storage medium
US Patent 8003521 Semiconductor processing
US Patent 8005634 Copper wiring module control
US Patent 8008133 Chip package with channel stiffener frame
US Patent 8008708 Metal line of semiconductor device having a diffusion barrier and method for forming the same
US Patent 8012222 Method and apparatus for interconnecting electrodes with partial titanium coating
US Patent 8012813 Display substrate and method of manufacturing the same
US Patent 8012846 Isolation structures and methods of fabricating isolation structures
US Patent 8012848 Trench isolation and method of fabricating trench isolation
US Patent 8012875 Method and apparatus for workpiece surface modification for selective material deposition
Edits on 13 Dec, 2021
Golden AI
edited on 13 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8012875 Method and apparatus for workpiece surface modification for selective material deposition
Golden AI
edited on 13 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8012848 Trench isolation and method of fabricating trench isolation
Golden AI
edited on 13 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8012846 Isolation structures and methods of fabricating isolation structures
Golden AI
edited on 13 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8012813 Display substrate and method of manufacturing the same
Golden AI
edited on 13 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8012222 Method and apparatus for interconnecting electrodes with partial titanium coating
Edits on 8 Dec, 2021
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8008708 Metal line of semiconductor device having a diffusion barrier and method for forming the same
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8008133 Chip package with channel stiffener frame
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8005634 Copper wiring module control
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8003521 Semiconductor processing
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 8003484 Method for forming silicon oxide film, plasma processing apparatus and storage medium
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7999339 Photoelectric conversion device and solid-state imaging device
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7998876 Method of producing semiconductor element
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7998810 Methods of forming integrated circuit devices having stacked gate electrodes
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7998761 Light emitting diode with ITO layer and method for fabricating the same
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7994060 Dual exposure track only pitch split process
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7994055 Method of manufacturing semiconductor apparatus, and semiconductor apparatus
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7993989 Vertical spacer forming and related transistor
Golden AI
edited on 8 Dec, 2021
Edits made to:
Infobox
(
+1
properties)
Infobox
Patent primary examiner of
US Patent 7993985 Method for forming a semiconductor device with a single-sided buried strap
Load more
Find more people like Charles D. Garber
Use the Golden Query Tool to discover related individuals, professionals, or experts with similar interests, expertise, or connections in the Knowledge Graph.
Open Query Tool
Access by API
Company
Home
Press & Media
Blog
Careers
WE'RE HIRING
Products
Knowledge Graph
Query Tool
Data Requests
Knowledge Storage
API
Pricing
Enterprise
ChatGPT Plugin
Legal
Terms of Service
Enterprise Terms of Service
Privacy Policy
Help
Help center
API Documentation
Contact Us
SUBSCRIBE